|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
本来想写个最简单的用例,通过 task 验证一下别的问题,结果用Modelsim编译的时候总是有这个问题,百度后没有什么有用的信息
烦请各位帮我看下问题出在哪里呀? 谢谢啦!
- `timescale 1ns/1ns
- module task_test_tb ;
- reg i_clk = 0 ;
- reg i_rst_n = 0 ;
- reg [15:0] i_data = 0 ;
- wire [15:0] o_data ;
- task_test DUT
- (
- .i_clk ( i_clk ) ,
- .i_rst_n ( i_rst_n ) ,
- .i_data ( i_data ) ,
- .o_data ( o_data )
- );
- localparam PERIOD = 10 ;
- always #(PERIOD/2) i_clk = !i_clk ;
- initial
- begin
- #(PERIOD*10) i_rst_n = 1'b1 ;
- #(PERIOD*1000) $finish;
- end
- always @ ( posedge i_clk or negedge i_rst_n )
- begin
- if ( !i_rst_n )
- i_data <= 16'd0 ;
- else
- i_data <= $random ;
- end
- ///initial
- ///begin
- /// DOUT;
- ///end
- task DOUT;
- integer fid0;
- integer iter_data;
- fid0 = $fopen("tc101_dout.txt","w");
- // @( posedge i_rst_n )
- // for( iter_data = 0 ; iter_data < 20 ; iter_data = iter_data + 1 )
- // begin
- // @( negedge i_clk ) $fwrite(fid0,"%x\n",DUT.s_data);
- // end
- repeat(20)
- begin
- @ ( negedge i_clk ) ; $fwrite(fid0,"%x\n",DUT.s_data);
- end
- endtask
- endmodule
复制代码
|
|