在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2194|回复: 7

[求助] clock tree 的max_transition, max_fanout & max_capacitance 的设置

[复制链接]
发表于 2018-2-6 15:55:59 | 显示全部楼层 |阅读模式
200资产
对clock tree 的 max_transition, max_fanout & max_capacitance 的设置,是有什么根据吗 然后还需要根据什么来调节到合适的值?

最佳答案

查看完整内容

clock transition一般按clock period 的10%来设,一般要比.lib里面设的紧一些 max_fanout/max_cap没有硬规定,这些都是设置了都是为了更好的让tool做一个比较好的tree,满足transition
发表于 2018-2-6 15:56:00 | 显示全部楼层
clock transition一般按clock period 的10%来设,一般要比.lib里面设的紧一些
max_fanout/max_cap没有硬规定,这些都是设置了都是为了更好的让tool做一个比较好的tree,满足transition
 楼主| 发表于 2018-2-7 11:11:27 | 显示全部楼层
路过的也一起讨论一下,没有必要太严谨。
大神觉得信元少,我就在加
发表于 2018-2-7 13:42:02 | 显示全部楼层
依照製程的不同而有不同,再去依不同的案子做微調。例如40nm 製程是 1ns lib 上的max transition 2ns ,所以這個值就不可以超過2ns
設到2ns transition 會飄很大,這樣setup hold signoff時,就會很難解了。
 楼主| 发表于 2018-2-7 15:39:12 | 显示全部楼层
回复 3# webb_0928
关于你说的   “ib 上的max transition 2ns”   , 我有点不了解。这个值是 根据lib 中的“default_max_transition”来看到的?
还是根据 CLKBD2 的delay 表格看到的, delay 表格是二维表格。其中input transition作为查表一项。有人说要选input transition 的查表值的第四,五个之间的作为clock tree 的max_transition,因为这样transition值会落在这个区间,使得delay查表值更精确。
 楼主| 发表于 2018-2-7 15:45:25 | 显示全部楼层
回复 4# hennyjia


   我也觉得是这样的.    但是现在看到 不同工艺maxtransition 不一样28 nm的 clock max_transition 是0.03 , 16nm是0.1 所以大惑不解。
发表于 2018-2-7 16:42:47 | 显示全部楼层
如果厂家文档有要求就按照要求设置(可以卡的更严格一些),没有按照周期10%设置,如果是低频模块可以适当严格一些
 楼主| 发表于 2018-2-7 17:04:24 | 显示全部楼层
回复 7# 18345175021

关于 “按周期设置“ , 我不太理解。如果周期为1ns, 那么max_transition就是0.1. 在16 或者 28 nm下都是一样的设置,对吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-7 08:24 , Processed in 0.030452 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表