|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
×
本人小白,写了一个让led每隔一秒闪烁的程序,用vivado2015.4下载到kc705板子上led只是一直亮,不闪烁。同样的源程序我放到ISE下载到另一个黑金的板子上好使。求各位大神指点一下,我的问题可能出在哪里?是vivado上的xdc约束文件写的不对?还是kc705板子的配置有问题?还有,有没有可以测试板子是否好使的代码?我在官网找到的都是一些关于DDR3,PCIe,HDMI的,对于我这种小白来说太难,而且有些是在2014版本上写的,在2015.4上需要更新IP核,而我又不懂具体要怎么修改。下面是我闪烁led的代码
- module led_1(
- input clk,
- input reset_n,
- output reg out
- );
- //(*keep = "TRUE"*)reg [27:0] count;
- reg [27:0] count;
- always @ (posedge clk or negedge reset_n)
- begin
- if(!reset_n) begin
- count <= 28'd0;
- out <= 1'b1;
- end
- else begin
- case(count)
- 28'd200_000_000:begin
- out <= ~out;
- count <= 28'd0;
- end
- default:count <= count + 28'd1;
- endcase
- end
- end
- endmodule
复制代码
这是我自己写的xdc文件:
- set_property PACKAGE_PIN AD12 [get_ports clk]
- set_property IOSTANDARD LVCMOS18 [get_ports clk]
- create_clock -period 5.000 [get_ports clk]
- set_property PACKAGE_PIN AB7 [get_ports reset_n]
- set_property IOSTANDARD LVCMOS15 [get_ports reset_n]
- set_property PACKAGE_PIN E18 [get_ports out]
- set_property IOSTANDARD LVCMOS25 [get_ports out]
复制代码
这是我整个工程文件
project_led_2.zip
(1.85 MB , 下载次数:
4 )
恳求各位大神指点 |
|