在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1831|回复: 0

[求助] 【求助】debussy编译vivado的ip核报错Actual for formal wea is not a signal

[复制链接]
发表于 2017-12-29 10:50:54 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

-- Error:\vivado_project\vga_test\vga_test.srcs\sources_1\ip\picture_rom_1bit\sim\picture_rom_1bit.vhd(302):Actual for formal wea is not a signal.

-- Error:\vivado_project\vga_test\vga_test.srcs\sources_1\ip\picture_rom_1bit\sim\picture_rom_1bit.vhd(302):Bad actual part of map.

-- Error:\vivado_project\vga_test\vga_test.srcs\sources_1\ip\picture_rom_1bit\sim\picture_rom_1bit.vhd(298):Bad port map.

picture_rom_1bit.vhdvivado生成的一个IP核源文件,部分截图如下:

2017-12-28_21-48-00.png


Actual for formal weais not a signal 应该翻译成
正式的“wea”实际上不是一个信号???

在调用此rom核时设置的是一直是使能的,只用到三个信号

picture_rom_1bit pic_rom_1b(



.clka(vga_clk),



.addra(pic_add),



.douta(pic_dout_1b)



);


picture_rom_1bit.vhd源代码如下:






  1. -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
  2. --
  3. -- This file contains confidential and proprietary information
  4. -- of Xilinx, Inc. and is protected under U.S. and
  5. -- international copyright and other intellectual property
  6. -- laws.
  7. --
  8. -- DISCLAIMER
  9. -- This disclaimer is not a license and does not grant any
  10. -- rights to the materials distributed herewith. Except as
  11. -- otherwise provided in a valid license issued to you by
  12. -- Xilinx, and to the maximum extent permitted by applicable
  13. -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
  14. -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
  15. -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
  16. -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
  17. -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
  18. -- (2) Xilinx shall not be liable (whether in contract or tort,
  19. -- including negligence, or under any other theory of
  20. -- liability) for any loss or damage of any kind or nature
  21. -- related to, arising under or in connection with these
  22. -- materials, including for any direct, or any indirect,
  23. -- special, incidental, or consequential loss or damage
  24. -- (including loss of data, profits, goodwill, or any type of
  25. -- loss or damage suffered as a result of any action brought
  26. -- by a third party) even if such damage or loss was
  27. -- reasonably foreseeable or Xilinx had been advised of the
  28. -- possibility of the same.
  29. --
  30. -- CRITICAL APPLICATIONS
  31. -- Xilinx products are not designed or intended to be fail-
  32. -- safe, or for use in any application requiring fail-safe
  33. -- performance, such as life-support or safety devices or
  34. -- systems, Class III medical devices, nuclear facilities,
  35. -- applications related to the deployment of airbags, or any
  36. -- other applications that could lead to death, personal
  37. -- injury, or severe property or environmental damage
  38. -- (individually and collectively, "Critical
  39. -- Applications"). Customer assumes the sole risk and
  40. -- liability of any use of Xilinx products in Critical
  41. -- Applications, subject only to applicable laws and
  42. -- regulations governing limitations on product liability.
  43. --
  44. -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
  45. -- PART OF THIS FILE AT ALL TIMES.
  46. --
  47. -- DO NOT MODIFY THIS FILE.

  48. -- IP VLNV: xilinx.com:ip:blk_mem_gen:8.3
  49. -- IP Revision: 1

  50. LIBRARY ieee;
  51. USE ieee.std_logic_1164.ALL;
  52. USE ieee.numeric_std.ALL;




  53. LIBRARY blk_mem_gen_v8_3_1;
  54. USE blk_mem_gen_v8_3_1.blk_mem_gen_v8_3_1;

  55. ENTITY picture_rom_1bit IS
  56.   PORT (
  57.     clka : IN STD_LOGIC;
  58.     addra : IN STD_LOGIC_VECTOR(17 DOWNTO 0);
  59.     douta : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
  60.   );
  61. END picture_rom_1bit;

  62. ARCHITECTURE picture_rom_1bit_arch OF picture_rom_1bit IS
  63.   ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
  64.   ATTRIBUTE DowngradeIPIdentifiedWarnings OF picture_rom_1bit_arch: ARCHITECTURE IS "yes";

  65.   COMPONENT blk_mem_gen_v8_3_1 IS
  66.     GENERIC (
  67.       C_FAMILY : STRING;
  68.       C_XDEVICEFAMILY : STRING;
  69.       C_ELABORATION_DIR : STRING;
  70.       C_INTERFACE_TYPE : INTEGER;
  71.       C_AXI_TYPE : INTEGER;
  72.       C_AXI_SLAVE_TYPE : INTEGER;
  73.       C_USE_BRAM_BLOCK : INTEGER;
  74.       C_ENABLE_32BIT_ADDRESS : INTEGER;
  75.       C_CTRL_ECC_ALGO : STRING;
  76.       C_HAS_AXI_ID : INTEGER;
  77.       C_AXI_ID_WIDTH : INTEGER;
  78.       C_MEM_TYPE : INTEGER;
  79.       C_BYTE_SIZE : INTEGER;
  80.       C_ALGORITHM : INTEGER;
  81.       C_PRIM_TYPE : INTEGER;
  82.       C_LOAD_INIT_FILE : INTEGER;
  83.       C_INIT_FILE_NAME : STRING;
  84.       C_INIT_FILE : STRING;
  85.       C_USE_DEFAULT_DATA : INTEGER;
  86.       C_DEFAULT_DATA : STRING;
  87.       C_HAS_RSTA : INTEGER;
  88.       C_RST_PRIORITY_A : STRING;
  89.       C_RSTRAM_A : INTEGER;
  90.       C_INITA_VAL : STRING;
  91.       C_HAS_ENA : INTEGER;
  92.       C_HAS_REGCEA : INTEGER;
  93.       C_USE_BYTE_WEA : INTEGER;
  94.       C_WEA_WIDTH : INTEGER;
  95.       C_WRITE_MODE_A : STRING;
  96.       C_WRITE_WIDTH_A : INTEGER;
  97.       C_READ_WIDTH_A : INTEGER;
  98.       C_WRITE_DEPTH_A : INTEGER;
  99.       C_READ_DEPTH_A : INTEGER;
  100.       C_ADDRA_WIDTH : INTEGER;
  101.       C_HAS_RSTB : INTEGER;
  102.       C_RST_PRIORITY_B : STRING;
  103.       C_RSTRAM_B : INTEGER;
  104.       C_INITB_VAL : STRING;
  105.       C_HAS_ENB : INTEGER;
  106.       C_HAS_REGCEB : INTEGER;
  107.       C_USE_BYTE_WEB : INTEGER;
  108.       C_WEB_WIDTH : INTEGER;
  109.       C_WRITE_MODE_B : STRING;
  110.       C_WRITE_WIDTH_B : INTEGER;
  111.       C_READ_WIDTH_B : INTEGER;
  112.       C_WRITE_DEPTH_B : INTEGER;
  113.       C_READ_DEPTH_B : INTEGER;
  114.       C_ADDRB_WIDTH : INTEGER;
  115.       C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
  116.       C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
  117.       C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
  118.       C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
  119.       C_MUX_PIPELINE_STAGES : INTEGER;
  120.       C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
  121.       C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
  122.       C_USE_SOFTECC : INTEGER;
  123.       C_USE_ECC : INTEGER;
  124.       C_EN_ECC_PIPE : INTEGER;
  125.       C_HAS_INJECTERR : INTEGER;
  126.       C_SIM_COLLISION_CHECK : STRING;
  127.       C_COMMON_CLK : INTEGER;
  128.       C_DISABLE_WARN_BHV_COLL : INTEGER;
  129.       C_EN_SLEEP_PIN : INTEGER;
  130.       C_USE_URAM : INTEGER;
  131.       C_EN_RDADDRA_CHG : INTEGER;
  132.       C_EN_RDADDRB_CHG : INTEGER;
  133.       C_EN_DEEPSLEEP_PIN : INTEGER;
  134.       C_EN_SHUTDOWN_PIN : INTEGER;
  135.       C_EN_SAFETY_CKT : INTEGER;
  136.       C_DISABLE_WARN_BHV_RANGE : INTEGER;
  137.       C_COUNT_36K_BRAM : STRING;
  138.       C_COUNT_18K_BRAM : STRING;
  139.       C_EST_POWER_SUMMARY : STRING
  140.     );
  141.     PORT (
  142.       clka : IN STD_LOGIC;
  143.       rsta : IN STD_LOGIC;
  144.       ena : IN STD_LOGIC;
  145.       regcea : IN STD_LOGIC;
  146.       wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
  147.       addra : IN STD_LOGIC_VECTOR(17 DOWNTO 0);
  148.       dina : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
  149.       douta : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
  150.       clkb : IN STD_LOGIC;
  151.       rstb : IN STD_LOGIC;
  152.       enb : IN STD_LOGIC;
  153.       regceb : IN STD_LOGIC;
  154.       web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
  155.       addrb : IN STD_LOGIC_VECTOR(17 DOWNTO 0);
  156.       dinb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
  157.       doutb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
  158.       injectsbiterr : IN STD_LOGIC;
  159.       injectdbiterr : IN STD_LOGIC;
  160.       eccpipece : IN STD_LOGIC;
  161.       sbiterr : OUT STD_LOGIC;
  162.       dbiterr : OUT STD_LOGIC;
  163.       rdaddrecc : OUT STD_LOGIC_VECTOR(17 DOWNTO 0);
  164.       sleep : IN STD_LOGIC;
  165.       deepsleep : IN STD_LOGIC;
  166.       shutdown : IN STD_LOGIC;
  167.       rsta_busy : OUT STD_LOGIC;
  168.       rstb_busy : OUT STD_LOGIC;
  169.       s_aclk : IN STD_LOGIC;
  170.       s_aresetn : IN STD_LOGIC;
  171.       s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
  172.       s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
  173.       s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
  174.       s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
  175.       s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
  176.       s_axi_awvalid : IN STD_LOGIC;
  177.       s_axi_awready : OUT STD_LOGIC;
  178.       s_axi_wdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
  179.       s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
  180.       s_axi_wlast : IN STD_LOGIC;
  181.       s_axi_wvalid : IN STD_LOGIC;
  182.       s_axi_wready : OUT STD_LOGIC;
  183.       s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
  184.       s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
  185.       s_axi_bvalid : OUT STD_LOGIC;
  186.       s_axi_bready : IN STD_LOGIC;
  187.       s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
  188.       s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
  189.       s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
  190.       s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
  191.       s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
  192.       s_axi_arvalid : IN STD_LOGIC;
  193.       s_axi_arready : OUT STD_LOGIC;
  194.       s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
  195.       s_axi_rdata : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
  196.       s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
  197.       s_axi_rlast : OUT STD_LOGIC;
  198.       s_axi_rvalid : OUT STD_LOGIC;
  199.       s_axi_rready : IN STD_LOGIC;
  200.       s_axi_injectsbiterr : IN STD_LOGIC;
  201.       s_axi_injectdbiterr : IN STD_LOGIC;
  202.       s_axi_sbiterr : OUT STD_LOGIC;
  203.       s_axi_dbiterr : OUT STD_LOGIC;
  204.       s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(17 DOWNTO 0)
  205.     );
  206.   END COMPONENT blk_mem_gen_v8_3_1;
  207.   ATTRIBUTE X_INTERFACE_INFO : STRING;
  208.   ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
  209.   ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
  210.   ATTRIBUTE X_INTERFACE_INFO OF douta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT";
  211. BEGIN
  212.   U0 : blk_mem_gen_v8_3_1
  213.     GENERIC MAP (
  214.       C_FAMILY => "artix7",
  215.       C_XDEVICEFAMILY => "artix7",
  216.       C_ELABORATION_DIR => "./",
  217.       C_INTERFACE_TYPE => 0,
  218.       C_AXI_TYPE => 1,
  219.       C_AXI_SLAVE_TYPE => 0,
  220.       C_USE_BRAM_BLOCK => 0,
  221.       C_ENABLE_32BIT_ADDRESS => 0,
  222.       C_CTRL_ECC_ALGO => "NONE",
  223.       C_HAS_AXI_ID => 0,
  224.       C_AXI_ID_WIDTH => 4,
  225.       C_MEM_TYPE => 3,
  226.       C_BYTE_SIZE => 9,
  227.       C_ALGORITHM => 1,
  228.       C_PRIM_TYPE => 1,
  229.       C_LOAD_INIT_FILE => 1,
  230.       C_INIT_FILE_NAME => "picture_rom_1bit.mif",
  231.       C_INIT_FILE => "picture_rom_1bit.mem",
  232.       C_USE_DEFAULT_DATA => 0,
  233.       C_DEFAULT_DATA => "0",
  234.       C_HAS_RSTA => 0,
  235.       C_RST_PRIORITY_A => "CE",
  236.       C_RSTRAM_A => 0,
  237.       C_INITA_VAL => "0",
  238.       C_HAS_ENA => 0,
  239.       C_HAS_REGCEA => 0,
  240.       C_USE_BYTE_WEA => 0,
  241.       C_WEA_WIDTH => 1,
  242.       C_WRITE_MODE_A => "WRITE_FIRST",
  243.       C_WRITE_WIDTH_A => 1,
  244.       C_READ_WIDTH_A => 1,
  245.       C_WRITE_DEPTH_A => 148480,
  246.       C_READ_DEPTH_A => 148480,
  247.       C_ADDRA_WIDTH => 18,
  248.       C_HAS_RSTB => 0,
  249.       C_RST_PRIORITY_B => "CE",
  250.       C_RSTRAM_B => 0,
  251.       C_INITB_VAL => "0",
  252.       C_HAS_ENB => 0,
  253.       C_HAS_REGCEB => 0,
  254.       C_USE_BYTE_WEB => 0,
  255.       C_WEB_WIDTH => 1,
  256.       C_WRITE_MODE_B => "WRITE_FIRST",
  257.       C_WRITE_WIDTH_B => 1,
  258.       C_READ_WIDTH_B => 1,
  259.       C_WRITE_DEPTH_B => 148480,
  260.       C_READ_DEPTH_B => 148480,
  261.       C_ADDRB_WIDTH => 18,
  262.       C_HAS_MEM_OUTPUT_REGS_A => 0,
  263.       C_HAS_MEM_OUTPUT_REGS_B => 0,
  264.       C_HAS_MUX_OUTPUT_REGS_A => 0,
  265.       C_HAS_MUX_OUTPUT_REGS_B => 0,
  266.       C_MUX_PIPELINE_STAGES => 0,
  267.       C_HAS_SOFTECC_INPUT_REGS_A => 0,
  268.       C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
  269.       C_USE_SOFTECC => 0,
  270.       C_USE_ECC => 0,
  271.       C_EN_ECC_PIPE => 0,
  272.       C_HAS_INJECTERR => 0,
  273.       C_SIM_COLLISION_CHECK => "ALL",
  274.       C_COMMON_CLK => 0,
  275.       C_DISABLE_WARN_BHV_COLL => 0,
  276.       C_EN_SLEEP_PIN => 0,
  277.       C_USE_URAM => 0,
  278.       C_EN_RDADDRA_CHG => 0,
  279.       C_EN_RDADDRB_CHG => 0,
  280.       C_EN_DEEPSLEEP_PIN => 0,
  281.       C_EN_SHUTDOWN_PIN => 0,
  282.       C_EN_SAFETY_CKT => 0,
  283.       C_DISABLE_WARN_BHV_RANGE => 0,
  284.       C_COUNT_36K_BRAM => "5",
  285.       C_COUNT_18K_BRAM => "0",
  286.       C_EST_POWER_SUMMARY => "Estimated Power for IP     :     2.157347 mW"
  287.     )
  288.     PORT MAP (
  289.       clka => clka,
  290.       rsta => '0',
  291.       ena => '0',
  292.       regcea => '0',
  293.       wea => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
  294.       addra => addra,
  295.       dina => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
  296.       douta => douta,
  297.       clkb => '0',
  298.       rstb => '0',
  299.       enb => '0',
  300.       regceb => '0',
  301.       web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
  302.       addrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 18)),
  303.       dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
  304.       injectsbiterr => '0',
  305.       injectdbiterr => '0',
  306.       eccpipece => '0',
  307.       sleep => '0',
  308.       deepsleep => '0',
  309.       shutdown => '0',
  310.       s_aclk => '0',
  311.       s_aresetn => '0',
  312.       s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
  313.       s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
  314.       s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
  315.       s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
  316.       s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
  317.       s_axi_awvalid => '0',
  318.       s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
  319.       s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
  320.       s_axi_wlast => '0',
  321.       s_axi_wvalid => '0',
  322.       s_axi_bready => '0',
  323.       s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
  324.       s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
  325.       s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
  326.       s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
  327.       s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
  328.       s_axi_arvalid => '0',
  329.       s_axi_rready => '0',
  330.       s_axi_injectsbiterr => '0',
  331.       s_axi_injectdbiterr => '0'
  332.     );
  333. END picture_rom_1bit_arch;



复制代码

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 16:48 , Processed in 0.086879 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表