在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1416|回复: 3

[求助] 状态机Modelsim跑没问题,下载到片子就不往下走。

[复制链接]
发表于 2017-9-28 09:48:35 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
监测已经把下一个状态赋给nextState了,可是在下一个状态没检测到。
 楼主| 发表于 2017-9-29 10:05:40 | 显示全部楼层
本帖最后由 甜甜苦咖啡 于 2017-9-29 10:07 编辑

我用VHDL编写的程序,Modelsim跑前后仿真都没有问题。下载到片子上怎么都没结果。后来考虑可能是没有进状态机,试着用LED发现没有状态转移。后来编写了一个最基本的状态机程序,发现也是同样问题。

程序如下:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity ProgramTest is
    Port ( Clk, Reset : in  STD_LOGIC;
           LED1,LED2 : out  STD_LOGIC);
end ProgramTest;

architecture Behavioral of ProgramTest is

type tateType is (stIdle, stLed, stComplete);
signal StateType: PresState;
signal StateType: NextState;

signal oLed1, oLed2: std_logic;

begin

process (Clk, Reset)
begin
        if rising_edge(Clk) then
                if Reset = '1' then
                        PresState <= Idle;
                else
                        PresState <= NextState;
                end if;
        end if;
end process;

process (PresState)
begin
        case PresState is
                when stIdle =>
                        oLed1<= '1';
                        NestState <= stLed;
               
                when stLed =>
                        oLed2 <= '1';
                        NextState <= stComplete;
                        
                when stComplete =>
                        NextState <= Idle;
                        
                        
        end case;
               
end process;

end Behavioral;

LED上拉到3.3V,因此高电平的时候LED灯不亮。下载到片子后,LED1灯不亮,LED2灯亮,说明状态机没进入第二个状态。请大侠指教一下!!
发表于 2017-9-29 13:50:47 | 显示全部楼层
同步复位?你的reset信号从外面输入了吗?是不是因为reset一直为高,没有解复位?reset是外部什么东西控制的?
 楼主| 发表于 2017-9-29 16:31:44 | 显示全部楼层
应该没有同步复位,复位信号一直是低电平。而且我加了个时钟进去,当进入初始状态后,延时100us后,LED1仍然有信号。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 22:56 , Processed in 0.019553 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表