在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 11561|回复: 24

[求助]如何用verilog在fpga中实现精确延时

[复制链接]
发表于 2007-6-23 22:46:04 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
做的东西要实现各种不同的精确延时   最小的小于时钟周期    不知道用什么实现比较好啊    求高手指点
 楼主| 发表于 2007-6-24 11:42:47 | 显示全部楼层
很急啊   请高手帮忙
 楼主| 发表于 2007-6-24 19:51:15 | 显示全部楼层
就没高手愿意指点一下吗
 楼主| 发表于 2007-6-25 12:50:04 | 显示全部楼层
...............................................
还是没人愿意帮忙
发表于 2007-6-25 16:10:13 | 显示全部楼层
FPGA里确实个别的有一些延迟元件,还可以用逻辑元件或布线来实现延迟,但是都不能用于实现精确的延迟,因为它们的延迟特性随温度的变化而变化。

一个代替的做法可能能行:
利用Altera的PLL生成相位不同的时钟,最后固定输出的FF在IOB当中。
因为Altera的PLL可以生成任意相位的时钟,实现精确的延迟,把输出FF固定在IOB当中是为了消除FF到管腿的延时不确定性。
这种方法在时钟高速时会带来很多时序问题吧。
 楼主| 发表于 2007-6-25 22:38:45 | 显示全部楼层
谢谢楼上的兄弟,可我程序要求是一千多种不同的延时,基本上这个程序就是干这个的   也可以说是隔一个时间段输出一个特定的数据  每个时间段都不一样   有一千多个  晕死了
发表于 2007-6-26 00:01:56 | 显示全部楼层


原帖由 zhouquan2006 于 2007-6-25 22:38 发表
谢谢楼上的兄弟,可我程序要求是一千多种不同的延时,基本上这个程序就是干这个的   也可以说是隔一个时间段输出一个特定的数据  每个时间段都不一样   有一千多个  晕死了



哈?那就给你想个虽然不是十分精确,但是可以解决个数的办法。
比如把输入的时钟增频50倍,这就可以得到输入时钟50分之一的时间为单位的所有延迟了。
PLL有3到5个时钟输出,搞好了应该能对付那一千多个延迟。一个时钟以上的延迟用FF之后再用增频时钟数数。
 楼主| 发表于 2007-6-26 12:54:31 | 显示全部楼层


原帖由 jinghang 于 2007-6-26 00:01 发表


哈?那就给你想个虽然不是十分精确,但是可以解决个数的办法。
比如把输入的时钟增频50倍,这就可以得到输入时钟50分之一的时间为单位的所有延迟了。
PLL有3到5个时钟输出,搞好了应该能对付那一千多个延 ...



哈哈  可以试试   谢谢哥们了
发表于 2007-6-28 11:24:08 | 显示全部楼层
采用更高的时钟,对信号进行采样
 楼主| 发表于 2007-6-28 22:22:59 | 显示全部楼层
成本所限    用20m的晶振     有没有比较好的解决方法
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-7 12:12 , Processed in 0.029078 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表