在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2813|回复: 6

[求助] VCS分布式编译 具体怎么弄的,求大神帮忙?

[复制链接]
发表于 2017-5-16 10:33:29 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
VCS分布式编译 具体怎么弄的,求大神帮忙?
调试平台时设计.v不会动,编译时间又长
想把设计.v文件编译一次,再把验证平台编译一次,然后合起来生成simv
 楼主| 发表于 2017-5-16 14:19:16 | 显示全部楼层
人呢?
发表于 2017-5-16 17:01:28 | 显示全部楼层
什么不会动,不明白你什么意思?
发表于 2017-5-16 17:12:17 | 显示全部楼层
我很久之前在论坛里求过,大牛也给我解答了。
你可以找一找
 楼主| 发表于 2017-5-16 17:25:26 | 显示全部楼层
回复 4# mndzjsjczex 看了你的帖子,你也说米有解决啊
发表于 2017-5-17 09:02:51 | 显示全部楼层
回复 5# zhangyewen

我问了好几个帖子,你可能看错了
   http://bbs.eetop.cn/thread-565398-1-1.html    http://bbs.eetop.cn/thread-576720-1-1.html
 楼主| 发表于 2017-5-17 09:52:00 | 显示全部楼层
回复 6# mndzjsjczex


   谢谢, 我先看看
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 02:31 , Processed in 0.023396 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表