在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2096|回复: 9

[求助] 插值器和抽取器用VHDL怎么实现?

[复制链接]
发表于 2017-3-19 16:39:19 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
FPGA中的插值器和抽取器用Vhdl怎样实现呢??
发表于 2017-3-20 08:58:42 | 显示全部楼层
抽取,比如4倍抽取,就做个模4计数器,计满采一次样;
插值,我知道的分插0跟复制,如果插0的话,就是在高时钟下补0,复制的话,就是复制前一个值,别的不知道了
 楼主| 发表于 2017-3-20 14:37:40 | 显示全部楼层
有没有懂得的知道一下??对这个完全没有头绪
 楼主| 发表于 2017-3-21 11:03:10 | 显示全部楼层
插值和抽取是利用滤波器实现还是???
发表于 2017-3-21 12:36:02 | 显示全部楼层
当然需要滤波器,找本多速率信号处理的书看看
 楼主| 发表于 2017-3-21 15:58:27 | 显示全部楼层
回复 5# huster
我也了解了一些多采样率滤波器方面的知识,只是在这样一个滤波器组中,上面是低通,下面是高通,中间的抽取器和插值器也是用滤波器实现吗?谢谢 timg.jpg
发表于 2017-3-22 08:58:32 | 显示全部楼层
那就不需要了啊,两头不是已经做了滤波器了吗?下采样前加滤波器抗混叠,上采样后加滤波器去掉镜像
发表于 2017-3-22 09:05:46 | 显示全部楼层
抽取,多个数相加得出一个数?
 楼主| 发表于 2017-3-22 09:58:26 | 显示全部楼层
回复 7# huster
那中间的那个上下采样怎么实现啊???是用VHDL写还是怎么的???我对这个完全一头雾水,不知道该怎么去实现它,,求指导
发表于 2017-3-22 12:16:58 | 显示全部楼层
直接说抽取就是2个里面留1个,具体设计应该和前面的滤波器结合起来,这个说来话长了,自己找书看去吧
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 12:54 , Processed in 0.030833 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表