在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4828|回复: 12

[求助] set_output_delay 的问题

[复制链接]
发表于 2016-10-19 10:53:06 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
因为我的输出dataout_n_re频率是不确定的,可能是3M,6M等等,所以我就如下这样约束output delay,但是出来结果不对,求问该怎么约束?



set_output_delay -max 32 -clock clk_3M  [get_ports dataout_n_re]
set_output_delay -max 4.8 -clock clk_6_8M  -add_delay [get_ports dataout_n_re]
set_output_delay -max 2.4 -clock clk_3_32M -add_delay [get_ports dataout_n_re]
set_output_delay -max 1.2 -clock  CLOCK -add_delay [get_ports dataout_n_re]
发表于 2016-10-19 19:22:36 | 显示全部楼层
后面的几个output delay要加-add_delay
 楼主| 发表于 2016-10-19 20:31:33 | 显示全部楼层
回复 2# chenfengrugao


   我加了呀。
发表于 2016-10-21 14:29:56 | 显示全部楼层
根据你输出port的产生时钟来设置其output_delay,若这个port本身就是输出时钟且在外部使用时不采样其他port输出信号的也可以不设
发表于 2016-10-21 15:20:59 | 显示全部楼层
回复 1# claire123456


    怎么个不对?具体写出来看看
发表于 2016-10-22 13:55:40 | 显示全部楼层
模块还是top IO? 有相应协议参考吗
 楼主| 发表于 2016-10-22 17:07:39 | 显示全部楼层
回复 4# 出尘入世


   可能我之前没有把问题说清楚,大致结构是有个输入端choice_n,当他为不同值时,mux选择输出不同的输出,这几个输出的频率不一样。就是case(choice_n)
0:dataout1_3M;
1:dataout2_6M
2:dataout3_48M
3:dataout4_96M
4:dataout5_192M
 楼主| 发表于 2016-10-22 17:10:25 | 显示全部楼层
回复 5# zhanggd

可能我之前没有把问题说清楚,大致结构是有个输入端choice_n,当他为不同值时,mux选择输出不同的输出,这几个输出的频率不一样。就是case(choice_n)
0:dataout1_3M;
1:dataout2_6M
2:dataout3_48M
3:dataout4_96M
4:dataout5_192M

不对的地方在于它的时序有点串,就是最高频率192M的时钟关键路径和低频时钟串了。
发表于 2016-10-23 12:42:11 | 显示全部楼层
回复 8# claire123456


“这样出来的结果不对” 是什么意思?按照你的意思,该输出port前面连接的是一个mux的输出,而mux的输入是多个clock 分别驱动的各自的寄存器产生的输出,该各自的输出最后到达该mux的各自对应的输入端,我觉得是这样的话你可以按照最快的那个时钟来约束该输出port,剩下的用set_max_delay,不知道这样符合你的要求不?
 楼主| 发表于 2016-10-23 21:11:45 | 显示全部楼层
回复 9# zhanggd

你的意思是不用-add吗?你说的set max delay 是指set_output_delay max还是组合逻辑的set max delay?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 19:59 , Processed in 0.035931 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表