在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: xylion

[求助] ISE和modelsim SE时序仿真的问题

[复制链接]
 楼主| 发表于 2016-6-27 10:57:42 | 显示全部楼层
回复 8# huster


   感谢回复。没怀疑出问题,我写的代码全部是用原语写的,不会被综合掉。
我要看的其实是一个加法器链,从每个XOR门出来的信号。
 楼主| 发表于 2016-6-27 11:12:52 | 显示全部楼层
回复 7# 杰克淡定


请问您说的  “只能在RTL里调用buffer后设置dont_touch,网表仿真时找到这些buffer就行了”是什么意思啊“
是不是在“View RTL Schematic”里看RTL视图,然后设置什么东西??
 楼主| 发表于 2016-6-27 11:14:00 | 显示全部楼层
回复 7# 杰克淡定


   还有“这是DC的做法,是否适用FPGA综合还请FPGA行家来回答”
“DC的做法是什么意思”DC直流???
发表于 2016-6-27 22:22:16 | 显示全部楼层
在定义的信号前面添加原语,如下所示:

(* keep = "true" *)    reg   [3:0]  Count;
 楼主| 发表于 2016-6-29 20:32:32 | 显示全部楼层
回复 13# 杰克淡定


   是的,是改了名字,这个我还是知道的,我之前设计这个值位宽128bit,是不是只能一个一个加进去了??
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 15:31 , Processed in 0.020331 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表