在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: 果冻布丁34

[求助] modelsim仿真出现蓝色波形,数字为Z开头

[复制链接]
发表于 2017-1-2 17:14:12 | 显示全部楼层
好啊东西
发表于 2017-1-9 14:26:42 | 显示全部楼层
好东西,收藏了
发表于 2021-12-23 22:40:43 | 显示全部楼层


zhouchaozinc 发表于 2016-6-12 17:36
检查仿真环境  trace这个高阻的信号  一定有不正确的地方  看波形只有高位有z   那可能是高位没有连线,只 ...


如果输入信号的数值在激励文件中的值为5(9bit的位宽),但是在波形仿真中初始数值为xxxxx,怎么能解决这个问题呢?
发表于 2023-11-27 15:07:49 | 显示全部楼层
没错,确实是高位未赋值导致的高阻态,感谢大佬们指点
发表于 2023-11-27 15:54:00 | 显示全部楼层
位宽不一致
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-20 12:00 , Processed in 0.017950 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表