在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2517|回复: 5

[求助] ISE时序约束问题

[复制链接]
发表于 2016-5-10 10:26:55 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我用到的时钟结构为:IBUFGDS+PLL+BUFG的结构,利用内部晶振为200MHz的差分输出经过IBUGFDS,在经过PLL分频为50MHz的输出,PLL的输出再接BUFG缓存。50MHz的时钟做为FPGA的全局时钟信号。我的约束如下:NET "CLK_IN1_N" TNM=CLK_IN1_N;
TIMESPEC TS_CLK_IN1_N=PERIOD "CLK_IN1_N" 5ns HIGH 50%;
NET "CLK_IN1_P" TNM=CLK_IN1_P;
TIMESPEC TS_CLK_IN1_P=PERIOD "CLK_IN1_P" 5ns HIGH 50%;

NET "CLK_IN1" TNM=CLK_IN1;
TIMESPEC TS_CLK_IN1=PERIOD "CLK_IN1" 5ns HIGH 50%;



以上CLK_IN1_N和CLK_IN1_P为内部晶振的差分输入,CLK_IN为经过IBUFGDS后的信号,PLL的输出信号为clk_0,BUFG的输出信号为clk_1。跪求各位大神给以解惑,实在不懂这个约束怎么定义了。
发表于 2016-5-10 10:39:18 | 显示全部楼层
就这样定义就可以了,PLL会把约束传递下去。
 楼主| 发表于 2016-5-10 11:55:04 | 显示全部楼层
回复 2# atomdust


   不行,错误截图如下 :

                               
登录/注册后可看大图
yueshu.PNG
约束.PNG
 楼主| 发表于 2016-5-10 12:34:16 | 显示全部楼层
回复 2# atomdust
我是不是得把以下约束中的HIGH改为LOW?
NET "CLK_IN1_N" TNM=CLK_IN1_N;
TIMESPEC TS_CLK_IN1_N=PERIOD "CLK_IN1_N" 5ns HIGH 50%;
发表于 2016-5-10 15:51:39 | 显示全部楼层
本帖最后由 atomdust 于 2016-5-10 16:14 编辑

其实PLL传递的真实参考应该是:
NET "CLK_IN1" TNM=CLK_IN1;
TIMESPEC TS_CLK_IN1=PERIOD "CLK_IN1" 5ns HIGH 50%;
即使你的差分buffer部分不设置约束也没有关系的。
要检查一下你的PLL输出时钟驱动的逻辑中,最长的data path在哪里,这些fail的data path是什么引起的,如果是由于组合逻辑太长造成,就要加pipe line处理。
发表于 2016-5-11 15:58:45 | 显示全部楼层
内部晶振是?
全局时钟?
觉得你约束的有点多,时序报错,要根据时序报告检查。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-22 10:11 , Processed in 0.026857 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表