在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2266|回复: 6

[讨论] 大家modelsim编译时遇到过这种问题吗?

[复制链接]
发表于 2016-4-25 16:42:04 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 petrel87 于 2016-4-25 17:09 编辑

1.png
一看报错,原来是2968行那里 ,并口被综合成串口,里面有大括号
2.png
 楼主| 发表于 2016-4-26 15:29:41 | 显示全部楼层
我是对工程代码加了glift逻辑(门级信息流追踪),就是所有端口、内部逻辑门的数据都做一个标记位“-t”,这样它发生了不可控制的变化时我可以看标记位是1还是0,得知是否被污染(改变)。
看了一下,其余模块的并口综合后都成了add1_,add1_t.....这样比较标准的格式。就在这里添加了逻辑后,成了这样的,我估计是因为v4模块是ram,打散后发生了quartus和modelsim不认识的变化
发表于 2016-4-27 10:51:09 | 显示全部楼层
这和modelsim有什么关系?是你网表本身有问题,查查网表是什么工具产生的
 楼主| 发表于 2016-4-27 11:09:06 | 显示全部楼层
回复 3# sme-ic


   是DC综合出来的。。。看了下,原因好像就是那种大括号。
奇怪的是,其余模块的并口打散成串口都是很规则的addr1,addr2,addr3...这样的,就这里还出来大括号了。
发表于 2016-4-28 09:31:41 | 显示全部楼层
好像以前也碰到过类似问题,一是代码有错,二是DC版本,多试几个版本,三是脚本不合理。
发表于 2016-4-30 16:20:05 | 显示全部楼层
我也碰到过类似问题,像是systemverilog 的支持问题。
 楼主| 发表于 2016-5-3 15:49:53 | 显示全部楼层
不好解决啊。。。这个双口ram必须处于下层模块。要是在top层端口直接相连就好了。但他是top层下面的dpram层里面的模块。这综合就带了大括号。我醉了。。。
绝望ing
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-13 08:05 , Processed in 0.024441 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表