在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2089|回复: 4

[求助] 如何用FPGA产生北斗中频信号

[复制链接]
发表于 2016-4-18 10:32:59 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在做北斗信号的捕获算法验证,直接用matlab生成中频信号,保存为.coe格式,对40ms的数据进行处理,显示BRAM占用171%,请问有没有什么解决方法
发表于 2016-4-18 14:27:40 | 显示全部楼层
回复 1# 模拟学习者


   如果只是做算法,你做仿真就好了,没必要跑FPGA。做仿真直接把数据从文件中读出来就可以了。
发表于 2016-4-20 10:53:20 | 显示全部楼层
$fsdbDumpfile
Description
To specify the FSDB file name created by the Debussy PLI. The default name
verilog.fsdb is used if not previously specified. This command is valid only
before $fsdbDumpvars is executed and is ignored if specified after
$fsdbDumpvars.
460 Debussy Command Reference
Syntax
$fsdbDumpfile("<FSDB name>");
Argument
<FSDB name>:
The name of the FSDB file generated by the Debussy PLI routine.
Example
$fsdbDumpfile("CPU.fsdb");
------------------------------------------------------------------------------------------
$fsdbDumpvars
Description
To dump signal-value-change information to the FSDB file instead of the VCD
file. The syntax usage of this task is exactly the same as for $dumpvars.
Syntaxes
$fsdbDumpvars;
$fsdbDumpvars([level] [, module | var]*);
$fsdbDumpvars("level=", level [, module | var]*);
$fsdbDumpvars("+no_functions" | ["level=", level] [, module |
var]*);
Arguments
<level>:
Specifies how may levels of the hierarchy to dump for the subsequent
scopes. If the subsequent arguments are variables, only these variables will
be dumped. If you want to specify this level argument as variable type, you
have specify the first argument as string literal level= and the second
argument as level argument.
<module | var>:
This definition gives either the module scope or variables that specify the
objects to dump.
Examples
$fsdbDumpvars;
Dump all variables in the module that contains this statement and in all
module instances below this module.
$fsdbDumpvars(0, system);
Dump all variables in the system module and in all module instances below
system.
$fsdbDumpvars(1, system.i_cpu, system.i_CPU.i_PCU.net1);
462 Debussy Command Reference
Dump all the variables in scope system.i_cpu and the variable
system.i_CPU.i_PCU.net1.
$fsdbDumpvars("level=", level_reg, system);
Dump all variables in the system module and in all module instances below
it up to the depth that level_reg variable indicates.
If you specify +no_functions string option in $fsdbDumpvars call, the functions
in the design will not be dumped. You can do it with either
$fsdbDumpvars("+no_functions","level=",0, system);
or
$fsdbDumpvars(0, "+no_functions",system);
The $fsdbDumpvars call dumps all children and descent scopes except
functions under the scope system.
发表于 2016-4-20 20:14:52 | 显示全部楼层
回复 1# 模拟学习者


   modelsim读写文件仿真,你的方式完全是在虐片子,10M的伪码速率,再奈奎斯特采样,怎么可能用RAM存,I服了U
发表于 2017-5-26 21:32:55 | 显示全部楼层
楼主你好,请问你能分享一下,matlab生成中频信号的程序嘛?在网上找了好久了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-22 08:59 , Processed in 0.026959 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表