在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2024|回复: 1

[求助] rom的IP核

[复制链接]
发表于 2016-4-18 10:27:29 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位大神好:     Xilinx的ISE14.7上ROMs & RAMs的IP核有两种,分别为block memory generator和distributed memory generator。我选distributed memory generator生成一个rom的IP核,在检验电路功能的时候发生了错误,程序计数器PC和输入的指令instruction不是同步出现的,instruction比PC晚1/4个周期。后来我又换了block memory generator生成rom,instruction仍然比pc晚1/4个周期,请问这是为什么?如何解决?
发表于 2016-4-18 14:32:56 | 显示全部楼层
本帖最后由 harry_hust 于 2016-4-18 14:35 编辑

回复 1# 龚锋


   ROM/RAM有延时很正常,这些都是memory固有的不可避免的延时。
一般来说,1/4个周期的延时对你的时序或者功能应该都没有影响的。只是如果这些延时接近你的时钟周期时才需要做约束或者降频或者更改设计。

但是看到你这里说出现了功能错误,我想应该是你的设计没有考虑周全吧,不妨贴出更多信息以便分析。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-5 12:47 , Processed in 0.019655 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表