在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2278|回复: 0

[原创] UVM中helloworld程序运行成功的步骤,win7, modelsom10.2c

[复制链接]
发表于 2016-3-17 14:02:43 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
WIN7
Modelsim SE 10.2c


背景说明:
1,UVM是synopsys,cadence,mentor,三大最著名的EDA共同支持的验证测试平台,自从2011年推出1.1a以来,目前已经到1.1d版本。
2,越来越多的项目采用SystemVerilog + UVM 作为验证方法
3,modelsim se 10.2c 安装包中就包含了UVM源代码和dll 文件,无需手动添加, 安装完就有了


操作步骤:
1,把附件程序hello_world.sv 放到一个路径下,比如 d:\uvm_learning\
2,启动Modelsim,File: Change Directory...   然后指定到d:\uvm_learning\
3,在Transcript的命令行终端中输入命令:


     set UVM_HOME c:/modeltech_10.2c/verilog_src/uvm-1.1d
     set UVM_DLL_HOME c:/modeltech_10.2c/uvm-1.1d/win32
     set MODEL_TECH c:/modeltech_10.2c/win32/
     vlib work     
     vlog +incdir+$UVM_HOME/src -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF d:/uvm_learning/hello_world.sv
     vsim -c -sv_lib $UVM_DLL_HOME/uvm_dpi work.hello_world_example
     run 100ns


4,上面的命令可以写到一个do 文件中,比如 helloworld.do ,就可以在Transcript中只运行 do helloworld.do


运行结果如下:


# ----------------------------------------------------------------
# UVM-1.1d
# (C) 2007-2013 Mentor Graphics Corporation
# (C) 2007-2013 Cadence Design Systems, Inc.
# (C) 2006-2013 Synopsys, Inc.
# (C) 2011-2013 Cypress Semiconductor Corp.
# ----------------------------------------------------------------
#
#   ***********       IMPORTANT RELEASE NOTES         ************
#
#   You are using a version of the UVM library that has been compiled
#   with `UVM_NO_DEPRECATED undefined.
#   See http://www.eda.org/svdb/view.php?id=3313 for more details.
#
#   You are using a version of the UVM library that has been compiled
#   with `UVM_OBJECT_MUST_HAVE_CONSTRUCTOR undefined.
#   See http://www.eda.org/svdb/view.php?id=3770 for more details.
#
#       (Specify +UVM_NO_RELNOTES to turn off this notice)
#
# UVM_INFO c:/Users/galen.yang/Downloads/eetop.cn_hello_world/hello_world.sv(6) @ 0: reporter [info1] Hello World!




参考文献:
   http://blog.sina.com.cn/s/blog_61e10f020101dqtq.html

hello_world.sv

209 Bytes, 下载次数: 2 , 下载积分: 资产 -2 信元, 下载支出 2 信元

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

X

小黑屋| 手机版| 关于我们| 联系我们| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2025-7-7 15:42 , Processed in 0.015794 second(s), 10 queries , Gzip On, MemCached On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表