|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
WIN7
Modelsim SE 10.2c
背景说明:
1,UVM是synopsys,cadence,mentor,三大最著名的EDA共同支持的验证测试平台,自从2011年推出1.1a以来,目前已经到1.1d版本。
2,越来越多的项目采用SystemVerilog + UVM 作为验证方法
3,modelsim se 10.2c 安装包中就包含了UVM源代码和dll 文件,无需手动添加, 安装完就有了
操作步骤:
1,把附件程序hello_world.sv 放到一个路径下,比如 d:\uvm_learning\
2,启动Modelsim,File: Change Directory... 然后指定到d:\uvm_learning\
3,在Transcript的命令行终端中输入命令:
set UVM_HOME c:/modeltech_10.2c/verilog_src/uvm-1.1d
set UVM_DLL_HOME c:/modeltech_10.2c/uvm-1.1d/win32
set MODEL_TECH c:/modeltech_10.2c/win32/
vlib work
vlog +incdir+$UVM_HOME/src -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF d:/uvm_learning/hello_world.sv
vsim -c -sv_lib $UVM_DLL_HOME/uvm_dpi work.hello_world_example
run 100ns
4,上面的命令可以写到一个do 文件中,比如 helloworld.do ,就可以在Transcript中只运行 do helloworld.do
运行结果如下:
# ----------------------------------------------------------------
# UVM-1.1d
# (C) 2007-2013 Mentor Graphics Corporation
# (C) 2007-2013 Cadence Design Systems, Inc.
# (C) 2006-2013 Synopsys, Inc.
# (C) 2011-2013 Cypress Semiconductor Corp.
# ----------------------------------------------------------------
#
# *********** IMPORTANT RELEASE NOTES ************
#
# You are using a version of the UVM library that has been compiled
# with `UVM_NO_DEPRECATED undefined.
# See http://www.eda.org/svdb/view.php?id=3313 for more details.
#
# You are using a version of the UVM library that has been compiled
# with `UVM_OBJECT_MUST_HAVE_CONSTRUCTOR undefined.
# See http://www.eda.org/svdb/view.php?id=3770 for more details.
#
# (Specify +UVM_NO_RELNOTES to turn off this notice)
#
# UVM_INFO c:/Users/galen.yang/Downloads/eetop.cn_hello_world/hello_world.sv(6) @ 0: reporter [info1] Hello World!
参考文献:
http://blog.sina.com.cn/s/blog_61e10f020101dqtq.html |
|