在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4954|回复: 4

[求助] PT保持时间违例,removal违例,min_capacitance违例,求解决办法

[复制链接]
发表于 2016-1-27 22:06:05 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
PT所用的约束与DC所用约束除操作条件不同之外,其余基本不变

将时钟保持时间的不确定性改为0.5,则保持时间违例修正,removal 数减少;输入驱动单元buffer已是最小的最大输出电容负载,求修正min_capacitance方式
最初始的违例部分报告如下:

****************************************

Report : constraint

        -all_violators

        -path slack_only

Design : SPI_S

Version: D-2010.06-SP3

Date   : Wed Jan 27 21:35:32 2016

****************************************




   min_delay/hold ('clk_in' group)


   Endpoint                                                  Slack

   -----------------------------------------------------------------

   ACR[7]                                                    -1.17  (VIOLATED)

   SSYNC                                                     -1.17  (VIOLATED)

   ODAC[7]                                                   -1.17  (VIOLATED)

   misoe                                                     -1.17  (VIOLATED)

   RESET                                                     -1.16  (VIOLATED)

   DRDY_f                                                    -1.14  (VIOLATED)

   uut_spi_slave/r_dorc_reg/D                                -1.13  (VIOLATED)

   misoo                                                     -1.12  (VIOLATED)

   uut_spi_slave/uut_reset_DRDY/reset_DRDY_reg/D             -1.12  (VIOLATED)

   uut_spi_slave/reset_order_reg/D                           -1.11  (VIOLATED)

   uut_spi_slave/MODU_reg[0]/D                               -1.11  (VIOLATED)

   uut_spi_slave/MODU_reg[1]/D                               -1.11  (VIOLATED)

   uut_spi_slave/MODU_reg[4]/D                               -1.11  (VIOLATED)

   uut_spi_slave/MODU_reg[5]/D                               -1.11  (VIOLATED)

   uut_spi_slave/MODU_reg[6]/D                               -1.11  (VIOLATED)

   uut_spi_slave/SETUP_reg[2]/D                              -1.11  (VIOLATED)

   uut_spi_slave/SETUP_reg[3]/D                              -1.11  (VIOLATED)

   uut_spi_slave/uut_reset_DRDY/DRDY_state_reg[2]/D          -1.11  (VIOLATED)


-----------------------------------------------------------------


removal


   Endpoint                                                  Slack

   -----------------------------------------------------------------

   uut_Reset_Synchronizer/rff1_reg/CDN                       -2.02  (VIOLATED)

   uut_Reset_Synchronizer/rst_n_reg/CDN                      -2.02  (VIOLATED)

   uut_sync_detect/SYNC_r1_reg/CDN                           -1.63  (VIOLATED)

   uut_sync_detect/SYNC_r2_reg/CDN                           -1.63  (VIOLATED)

   uut_spi_slave/MODU_reg[0]/CDN                             -1.63  (VIOLATED)

   uut_spi_slave/MODU_reg[1]/CDN                             -1.36  (VIOLATED)

   uut_spi_slave/MODU_reg[2]/CDN                             -1.36  (VIOLATED)

   uut_spi_slave/MODU_reg[3]/CDN                             -1.36  (VIOLATED)

   uut_spi_slave/DRDY_f_reg/CDN                              -1.33  (VIOLATED)


-----------------------------------------------------------------


min_capacitance


                             Required        Actual

   Pin                      Capacitance    Capacitance       Slack

   -----------------------------------------------------------------

   uut_spi_slave/U259/Z         0.02           0.01           0.00  (VIOLATED: increase significant digits)

   uut_spi_slave/U262/Z         0.02           0.01           0.00  (VIOLATED: increase significant digits)

   uut_spi_slave/U284/Z         0.02           0.01           0.00  (VIOLATED: increase significant digits)

   uut_spi_slave/U305/Z         0.02           0.01           0.00  (VIOLATED: increase significant digits)

   uut_spi_slave/U313/Z         0.02           0.01           0.00  (VIOLATED: increase significant digits)

   uut_spi_slave/U321/Z         0.02           0.01           0.00  (VIOLATED: increase significant digits)

  -----------------------------------------------------------------

发表于 2016-1-28 19:24:09 | 显示全部楼层
hold和removal可以垫buffer垫掉,不过你这violation太大了,估计要垫好多buffer。min_capacitance可以size up这些Z端的cell
 楼主| 发表于 2016-1-28 20:50:58 | 显示全部楼层
回复 2# jingest


   我把时钟不确定性改小了,保持时间违例便修正了。removal通过插buffer,改器件大小已修正。现还有min_capacitance违例,最大负松弛为-0.0350035,最小负松弛-0.0000682799。想问一下:PT工具手动插入buffer后,如何删除?用指令老是错误。。。。有没有PT软件的使用教程啊?想改错,电路图中好难找到cell
发表于 2020-12-4 19:46:39 | 显示全部楼层
请问一下解决了吗
发表于 2023-5-19 17:31:41 | 显示全部楼层


jingest 发表于 2016-1-28 19:24
hold和removal可以垫buffer垫掉,不过你这violation太大了,估计要垫好多buffer。min_capacitance可以size  ...


谢谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 04:38 , Processed in 0.023863 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表