在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1448|回复: 0

[求助] 请教Prime Time的问题

[复制链接]
发表于 2016-1-11 13:45:09 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
有PT里面做时序分析用read_verilog SPI_PCM.v来读取网表文件然后current_design top_module 再link就报所有的子模块找不到;我使用link_design -keep_sub_designs还是找不到子模块。(SPI_PCM.v文件中包括top_module也包含子模块的module)

PT中执行以下命令:
set search_path "../ref/"
set link_path "* max.db"
read_verilog ../SPI_PCM.v
current_design SPI_PCM
link_design
******************************
出现以下问题
Loading db file '../ref/max.db'
Linking design SPI_PCM…
Warning:Unable to resolve reference to 'SERIALSLAVE' in 'SPI_PCM'.(LINK-005)
Warning:Unable to resolve reference to 'TESTOUTSEL' in 'SPI_PCM'.(LINK-005)
Warning:Unable to resolve reference to 'PCM' in 'SPI_PCM'.(LINK-005)
……
Information:Creating black box for U1/SERIALSLAVE…(LINK-043)
Information:Creating black box for U2/TESTOUTSEL…(LINK-043)
Information:Creating black box for U3/PCM…(LINK-043)
……
Information:251(88.3%) library cells are unused in library max.db……
******************************
推测是  SPI_PCM中的stdcell link没问题,但SPI_PCM中子module(SERIALSLAVE,PCM等)link有问题,
把它们认成了black box。
请问各位有什么解决办法?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-29 02:21 , Processed in 0.020178 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表