在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1426|回复: 0

[求助] MIG 生成的DDR3 模型仿真问题求助

[复制链接]
发表于 2015-12-13 18:27:19 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在V7上使用MIG 生成的DDR3 mig系列控制器和PHY,同时生成了相关的仿真模型DDR3_MODEL,生成是自带的

测试仿真都能跑过,但是想在正式的项目工程中进行如下的仿真遇到问题:
期望将初始化数据导入DDR模型中,使得cpu复位释放后就开始从DDR模型中读数运行;
目前流程如下:
1、在TB中将初始化数据在DDR初始化完成后,按照模型规则导入8bank的DDR模型中(直接写到模型中的

MEMORY阵列中);
2、释放CPU复位信号,开始从DDR读取数据;前一段地址数据正常,读到col=1后模型出来的数据就变红了

(AXI端口上是随机值);
3、检查发现应该是控制器未对后面的地址进行ddr时序的写操作,导致读取后面的地址时模型认为没有数

据写入(memory_used信号不再变化,直接对memory阵列写数不会对memory_used信号起作用);

如果要对DDR模型中的数据按照DDR时序写数的话,耗时太久;看各位专家是否有对DDR模型初始化的方法

,谢谢!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 06:24 , Processed in 0.020150 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表