在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3592|回复: 4

[求助] 编译出错:UVM的基类无法识别

[复制链接]
发表于 2015-12-3 22:08:45 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
错误如下:# ** Error: ../src/hdlc_env.sv(1): near "uvm_env": syntax error, unexpected IDENTIFIER
# ** Error: ../src/hdlc_env.sv(1): Error in class extension specification.
# ** Error: E:/modeltech_10.1a/win32/vlog failed.


hdlc_env.sv里面定义了一个这样的类:
class hdlc_env extends uvm_env;

我是通过脚本编译的,脚本内容如下:
set UVM_HOME e:/modeltech_10.1a/verilog_src/uvm-1.1d  
set MODEL_TECH e:/modeltech_10.1a/win32
set PATH C:/Users/Administrator/Desktop/SV/PRJ/hdlc

#cd C:/Users/Administrator/Desktop/SV/PRJ/hdlc/sim

vlib work
vmap work work

vlog +incdir+$UVM_HOME/src -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF ../src/hdlc_if.sv
vlog +incdir+$UVM_HOME/src -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF ../dut/dut.v

vlog +incdir+$UVM_HOME/src -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF ../src/hdlc_env.sv


其他信息:编译工具是Modelsim10.1a,UVM-1.1d,Windows环境
求大神们解救,看报措感觉是UVM的库没包含进来?不知道具体错在哪
发表于 2016-4-7 08:25:34 | 显示全部楼层
回复 1# 鸥鸟忘机


   delete -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF
发表于 2018-1-18 09:07:13 | 显示全部楼层
楼主 解决了么 我也碰上这个问题了
发表于 2020-7-26 23:39:41 | 显示全部楼层
请问下解决了吗?  我也碰到了这个问题
发表于 2020-8-14 16:38:39 | 显示全部楼层
编译的时候需要把uvm_pkg、uvm_dpi.cc 以及对应的incdir一起编译,最好写个filelist
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 21:21 , Processed in 0.022600 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表