在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1904|回复: 3

[求助] altera 时钟切换问题

[复制链接]
发表于 2015-9-14 16:57:26 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
小弟在做Altera FPGA验证时,碰到个棘手问题,望各位大侠指教,具体如下:
PLL分出2个clk,clka & clkb
clkb 经过可configuration 的分频电路得到clkc。
clka 和clkc 过altclkctrl 做clock muxing。
quartus II fitter 报错(15836).
我看altclkctrl 作clk mux时好像很多限制。
这种case怎么搞?各位高见。
谢谢!!!
发表于 2015-9-15 09:15:45 | 显示全部楼层
回复 1# luzent


    可以将clka接到“cyclonev_clkena”试试。
 楼主| 发表于 2015-9-15 10:18:46 | 显示全部楼层
回复 2# ddxx
那clk mux 的选择信号怎么接啊?不要了?
发表于 2015-9-15 17:51:38 | 显示全部楼层
本帖最后由 ddxx 于 2015-9-15 17:53 编辑

回复 3# luzent
那个primitive是用来连接不同时钟区的时钟的,相当于一个桥,CLK MUX还是需要的。
如果搞不定,可以试试我给你的附件。

clk_sel.zip

487 Bytes, 下载次数: 9 , 下载积分: 资产 -2 信元, 下载支出 2 信元

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 06:25 , Processed in 0.023760 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表