在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2730|回复: 5

[求助] modelsim如何将仿真数据生成文件

[复制链接]
发表于 2015-8-2 19:50:16 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在做1024个点的FFT时用modelsim进行仿真,但是结果没法验证,因为2048个实部和虚部不可能一个个输入到MATLAB中验证。我想请教一下,modelsim能不能将我仿真时生成的2048个输出数据转化为文件,然后通过MATLAB调用的这个文件里的数据进行FFT从而验证设计的正确性呢?
最好能举个例子,能给个链接更好!先谢谢啦
 楼主| 发表于 2015-8-3 07:26:26 | 显示全部楼层
貌似发现解决办法了,在输出端用一个存储器。在modelsim仿真时打开memories标签中的输出存储器,再通过export导出为.mem的文件(可以改成.txt)但是现在又有新的问题....如何用matlab将文件中的输入依此读入呢?
发表于 2015-8-3 21:38:24 | 显示全部楼层
1 测试平台tb中 建议使用$fwrite命令将需要的数据写入文件
2 在matlab环境中使用$fopen 和 $fread命令读取文件,具体组合作,建议查询手册
 楼主| 发表于 2015-8-3 22:41:48 | 显示全部楼层
回复 3# RichKoala 是个不错的提议!我研究看看。还有个问题很好奇,我在用modelsim时同时打开matlab,modelsim的命令窗口竟然显示我用的m文件的内容!这有什么用途呢?
发表于 2015-8-4 07:44:59 | 显示全部楼层
d noe bothosewq
发表于 2015-8-4 07:46:17 | 显示全部楼层
thanks bro u are my jaan
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 21:00 , Processed in 0.028550 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表