在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2876|回复: 1

[求助] VCS 编译uvm 库错误

[复制链接]
发表于 2015-7-7 10:54:56 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
大师们,用vcs +acc +vpi -sverilog +incdir+${UVM_HOME}/src ${UVM_HOME}/src/dpi/uvm_dpi.cc  ${UVM_HOME}/src/uvm_pkg.sv编译UVTop Level Modules:
No TimeScale specified
Starting vcs inline pass...
3 modules and 0 UDP read.
    However, due to incremental compilation, no re-compilation is necessary.
ld -r -m elf_i386 -o pre_vcsobj_1_1.o --whole-archive pre_vcsobj_1_1.a --no-whole-archive
if [ -x ../simv ]; then chmod -x ../simv; fi
g++  -o ../simv  -melf_i386    -Wl,-whole-archive    -Wl,-no-whole-archive  uvm_dpi.o   SIM_l.o 5NrI_d.o 5NrIB_d.o   pre_vcsobj_1_1.o  rmapats_mop.o rmapats.o       /usr/synopsys/vcs/linux/lib/libzerosoft_rt_stubs.so /usr/synopsys/vcs/linux/lib/libvirsim.so /usr/synopsys/vcs/linux/lib/librterrorinf.so /usr/synopsys/vcs/linux/lib/libsnpsmalloc.so     /usr/synopsys/vcs/linux/lib/libvcsnew.so /usr/synopsys/vcs/linux/lib/libvcsucli.so /usr/synopsys/vcs/linux/lib/libuclinative.so        ./../simv.daidir/vc_hdrs.o   /usr/synopsys/vcs/linux/lib/vcs_save_restore_new.o /usr/synopsys/vcs/linux/lib/ctype-stubs_32.a -ldl  -lc -lm -lpthread -ldl
uvm_dpi.o: In function `uvm_hdl_set_vlog':
uvm_dpi.cc.text+0x359): undefined reference to `vpi_release_handle'
uvm_dpi.o: In function `uvm_hdl_read':
uvm_dpi.cc.text+0x485): undefined reference to `vpi_release_handle'
uvm_dpi.cc.text+0x4e7): undefined reference to `vpi_release_handle'
collect2: ld returned 1 exit status
make: *** [product_timestamp] Error 1
M1.1d库时,提示如下错误

应该怎样解决,谢谢。
发表于 2015-7-7 16:03:40 | 显示全部楼层
去掉编译参数 ${UVM_HOME}/src/dpi/uvm_dpi.cc 试试
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-7 15:14 , Processed in 0.018076 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表