在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1928|回复: 3

[解决] SDRAM Timing report

[复制链接]
发表于 2015-7-1 13:40:49 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 timchen0716 于 2015-7-3 16:22 编辑

各位大神,求助一个综合是越到的SDRAM问题,下面是详细问题描述。


电路描述:

1.
下图中的电路是SDRAM input采样结构,其中SDRAM_D0SDRAM_CLK分别是SDRAM的数据和时钟PADDFFp_sdram_d0输入第一级采样flip-flop

2.
时钟定义中,把sdram_clk定义为源时钟,sdram_clk_pad定义为generated clockdc::create_generated_clock –name “sdram_clk_pad” –source sdram_clk \

–divide_by 1 [dc::get_portsp_sdram_clk]

3.
input/output delay根据sdram_clk_pad设置。

dc::set_input_delay –clock sdram_clk_pad –add_delay –max/minxxx \

[dc::get_portsp_sdram_d0]

1.png

SDRAM input circuit


问题描述:

根据上述设置,我们认为clockdata path应该如下图。

2.png


Clock and Data path


但是在RC中的timing report发现了从p_sdram_d0到第一级dffDOUT_hP_reg_)时出现了timing slack violation的情况,是因为没有在clock path加入delay引起的。

3.png

Input timing violation


RC中也能报出从p_sdram_clkDFF采样clockpath,如下图。

4.png

Clock path timing report



所以怀疑是RC report时没有加入clock pathdelay。请问是我们设置的有问题还是report的有问题?

 楼主| 发表于 2015-7-1 23:43:06 | 显示全部楼层
自己顶一下,难道RC的timng report中不给clock path算delay吗?
 楼主| 发表于 2015-7-3 16:26:25 | 显示全部楼层

解决方法:

经过尝试发现RCreporttiming的时候clock path被认为是ideal network,在clock path上面不会加任何delay。而我们的目的是约束PADDFF之间不要存在太大的组合逻辑,所以使用一种变通的方法。

1.
对于set_output_delay,定义clocksdram_clkdata指定为SRAM_DO/I。只约束从DFFPAD/I的路径,认为clockdata经过SDRAM_CLKSDRAM_D0 PADdelay是一致的。

1.png

2.
对于set_input_delay,定义clockSDRAM_CLK/Cdata指定到SDRAM_D0/C上。只约束从PAD/CDFF的路径,认为clockdata经过SDRAM_CLKSDRAM_D0 PADdelay是一样的。

2.png


RC综合的时候可以这么设置,在给后端的sdc中再把input/output delay设置的端点定义到PAD上,这样能够报出真实的延时信息。

 楼主| 发表于 2015-7-3 16:28:30 | 显示全部楼层
也有朋友帮我出主意,在pad定义clock之后使用set_clock_latency的方式来替代PAD内容的delay。我觉得这种方法也是可以的,主要目的是能够约束PAD和DFF之间的组合逻辑。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 07:27 , Processed in 0.027334 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表