在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2332|回复: 3

[求助] 新手,用VHDL实现流水灯,为什么只有一位亮,其余都不亮,求大神告知原因,时钟50MHz

[复制链接]
发表于 2015-6-16 20:22:24 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 荆雪伊人 于 2015-6-16 20:23 编辑

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity led_water is
        port(
                        clk                :        in                std_logic;
                        output        :        out        std_logic_vector(3 downto 0));
end led_water;

architecture arch_ledwater of led_water is
signal counter        :        std_logic_vector(25 downto 0);
signal buff                :        std_logic_vector(1 downto 0);
begin
        process(clk,buff)
        begin
                if clk'event and clk = '1' then
                counter <= counter+1;
                end if;
                if counter = "10111110101111000010000000" then
                buff <= buff+1;
                counter <= "00000000000000000000000000";
                end if;
                case buff is
                        when "00" => output <= "1110";
                        when "01" => output <= "1101";
                        when "10" => output <= "1011";
                        when "11" => output <= "0111";
                        when others => NULL;
                end case;
        end process;
end arch_ledwater;
发表于 2015-6-23 16:44:16 | 显示全部楼层
你这段写得也太那个了,网上随便找段代码参考吧
发表于 2015-6-23 20:47:27 | 显示全部楼层
if counter =’ ‘ 这个边界条件不是太好啊,你这是一个点,若是越过,也不会复位,只有等他溢出了。
发表于 2015-7-7 15:51:25 | 显示全部楼层
代码风格貌似有问题:
1、counter和buff都没有初值。
2、buff单纯累加,溢出了呢?
3、时序逻辑和组合逻辑要合理使用,不然可能会有竞争冒险
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-28 11:18 , Processed in 0.022474 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表