在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4515|回复: 3

[求助] system generator生产代码时出错

[复制链接]
发表于 2015-5-13 15:51:39 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
大家好,我ise安装的是14.2,MATLAB是R2012a,系统是win7。在用system generator生成hdl代码时,出现以下错误 错误图片.png

请问,有可能是什么问题?要怎么解决?
是ise和matlab版本不搭配?还是win7不支持?
 楼主| 发表于 2015-5-13 16:51:07 | 显示全部楼层
回复 1# wangjianping530

--------------------------------- Version Log ----------------------------------
Version                                 Path
System Generator 14.2                   D:/Xilinx/14.2/ISE_DS/ISE/sysgen
Matlab 7.14.0.739 (R2012a)              d:/Program Files/MATLAB/R2012a
ISE                                     D:/Xilinx/14.2/ISE_DS/ISE
--------------------------------------------------------------------------------
Summary of Errors:
Error 0001: Fatal Internal Error
     Block: 'DevelopFlow/Gateway In'
--------------------------------------------------------------------------------

Error 0001:

Reported by:
  'DevelopFlow/Gateway In'

Details:
An internal error occurred in the Xilinx Blockset Library.

Please report this error to Xilinx (http://support.xilinx.com),
in as much detail as possible. You may also find immediate help
in the Answers Database and other online resources at http://support.xilinx.com.

Since it is possible that this internal error resulted from an
unhandled usage error in your design, we advise you to carefully
check the usage of the block reporting the internal error. If
errors persist, we recommend that you restart MATLAB.
--------------------------------------------------------------------------------
发表于 2015-5-14 11:02:42 | 显示全部楼层
建议你不要再用 sysgen  过于麻烦,容易错,错了又不知道错在哪儿,这工具很纠结。

直接verilog/vhdl 实现吧
发表于 2015-5-14 12:29:26 | 显示全部楼层
高大上
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 20:11 , Processed in 0.038465 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表