在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5628|回复: 13

[求助] verilog和VHDL混合代码如何用design compiler进行综合

[复制链接]
发表于 2015-5-6 08:17:46 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
verilog和Vhdl混合代码如何用design compiler进行综合?verilog代码用read_verilog命令,VHDL代码用read_vhdl命令,verilog代码文件是VHDL的top模块,请大侠指教,谢谢!
发表于 2015-5-6 09:40:09 | 显示全部楼层




   有个analyze+elaborate的方式,你看看可否?
 楼主| 发表于 2015-5-7 17:26:03 | 显示全部楼层
回复 2# sjtusonic

好的,谢谢!我的设计里面有64Kx8bit RAM和24Kx16bit RAM,它们都是用verilog设计的,现在综合很慢,跑了10个小时了64Kx8bit RAM综合也没有完成。
发表于 2015-5-8 08:58:51 | 显示全部楼层


回复  sjtusonic

好的,谢谢!我的设计里面有64Kx8bit RAM和24Kx16bit RAM,它们都是用verilog设计的, ...
highflyer2014 发表于 2015-5-7 17:26




   可否用MACRO替换RAM,不综合?
 楼主| 发表于 2015-5-12 14:52:55 | 显示全部楼层
回复 4# sjtusonic


  但是RAM也是系统设计的一部分,不综合怎么流片呢?
 楼主| 发表于 2015-5-12 15:01:20 | 显示全部楼层
回复 2# sjtusonic


   我的设计中的VHDL代码是8051的免费核,每个vhdl module都有三个文件,拿其中一个为例,addsub_core_.vhd(实体),addsub_core_struc.vhd(结构体)和addsub_core_struc_cfg.vhd,DC综合脚本:

analyze -format vhdl -lib WORK ./addsub_core_.vhd
analyze -format vhdl -lib WORK ./addsub_core_struc.vhd
analyze -format vhdl -lib WORK ./addsub_core_struc_cfg.vhd


elaborate addsub_core -architecture vhdl -library WORK

执行后报错:
elaborate addsub_core -architecture vhdl -library WORK
Error: Can't find the architecture 'addsub_core(vhdl)' in the library 'WORK'
0


请问脚本有错误吗?谢谢!
发表于 2015-5-12 17:16:49 | 显示全部楼层


回复  sjtusonic


  但是RAM也是系统设计的一部分,不综合怎么流片呢?
highflyer2014 发表于 2015-5-12 14:52




    准备流片的话,问问你的工艺厂商提供什么RAM的IP,然后替换上去
 楼主| 发表于 2015-5-12 17:47:13 | 显示全部楼层
回复 7# sjtusonic


   请问您知道定义常量参数的package,在DC综合的时候怎么处理吗?谢谢!
发表于 2015-5-13 09:35:04 | 显示全部楼层


回复  sjtusonic


   请问您知道定义常量参数的package,在DC综合的时候怎么处理吗?谢谢!
highflyer2014 发表于 2015-5-12 17:47




   package?是VHDL吗?不太熟
 楼主| 发表于 2015-5-13 10:18:51 | 显示全部楼层
回复 9# sjtusonic


   问题已经解决了,谢谢关注!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 23:37 , Processed in 0.031069 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表