在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1468|回复: 1

[求助] ICC 兩module 中的CLK問題

[复制链接]
发表于 2015-4-16 09:46:18 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
請問一下我有Analog 和DIGITAL 兩個module,CLK要從ANALOG傳到DIGITAL,在長Tree 時都無法用clock_opt
長而只能用GUI中的Optimization 去長,長完後再看clock tree
結果是看不到level和route 線,但clock report 有結果.以下是
我設定的SDC,有問題嗎?

會和CLK只是兩module 間的連線而無法給CLK 起始點嗎?(log
有show Error: Clock tree initialization failed (CTS-847)

create_clock [get_pins U_ANA/CLK] -period 10  -waveform {0 5}  -name clk
#create_clock [get_ports CLK]  -name clk  -period 100  -waveform {0 50}
set_clock_uncertainty 0.2  [get_clocks clk]
set_clock_uncertainty -setup 0.3  [get_clocks clk]
set_clock_uncertainty -hold 0.2  [get_clocks clk]
发表于 2015-4-16 10:38:58 | 显示全部楼层
感觉cts压根没做,

你现在verilog是怎样的,包含analog和digital 2块么,
按理说 clock_opt 都是可以用的,

logfile error的地方再贴下,
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 08:28 , Processed in 0.023459 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表