在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: guolehaohao

[资料] 如何做FPGA验证

[复制链接]
发表于 2016-3-26 15:06:04 | 显示全部楼层
谢谢share
发表于 2016-4-1 22:07:12 | 显示全部楼层
学习一下,谢谢分享!
发表于 2016-4-6 14:21:40 | 显示全部楼层
very very good!
发表于 2016-4-8 02:01:09 | 显示全部楼层
看看 !!!
发表于 2016-4-8 17:46:12 | 显示全部楼层
发表于 2016-4-9 14:26:34 | 显示全部楼层
看了楼主写的。 也用过 VCS 和 Questasim ,Modelsim  差别不大。 都是功能上的逻辑验证。

Questasim 和 Modelsim 是一家。 功能可以看做是一样的,也都有第三方语言接口支持 C 语言产生的 dll 也都是gcc编译器,可以说是换汤不换药。SystemVerilog 和 UVM 很虚,一般用在芯片设计上, FPGA不适用。

用哪家的FPGA 还得用符合哪家厂商的代码设计规范。Altera 的代码风格不适合Xilinx 的代码风格,关键是时序上很可能是通不过的。 时序约束才是设计成功的关键。 时钟跑不动,逻辑再正确也没用。如果只是做做功能上的验证,不是作为FPGA设计项目,楼主当然是可以的。
发表于 2016-4-11 13:47:38 | 显示全部楼层
谢谢分享!thanks
发表于 2016-7-3 20:56:10 | 显示全部楼层
谢谢分享!新的领域参考
发表于 2016-7-4 07:51:20 | 显示全部楼层
他山之石,看看什么启发!
发表于 2016-7-4 09:37:11 | 显示全部楼层
thanks
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-24 03:36 , Processed in 0.143863 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表