在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3934|回复: 7

[求助] VCS-2013仿真问题

[复制链接]
发表于 2015-2-4 22:52:35 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 squirrel_216 于 2015-2-4 23:09 编辑

file:///tmp/moz-screenshot.png初学linux下VCS仿真,软件装上后跑VCS遇到以下问题,希望高手指点。在/home/wuzhy/FPGA下有简单的源文件fpga_top.v和激励文件tb_top.v。
执行vcs *.v后,在/home/wuzhy/FPGA生成了三个文件夹csrc、simv.daidir、和tmp_dir_xxxxxxx_vcs。内容如图所示,没有找到传说中的simv文件,我该怎么办?
Screenshot-wuzhy@wuzhy:-home-wuzhy-FPGA.png
Screenshot-csrc.png
Screenshot-simv.daidir.png

PS:安装目录下没有名为vcs的可执行文件,只有vcs1,就加了一句alias vcs = vcs1。

Screenshot-wuzhy@wuzhy:-home-wuzhy-synopsys-vcs-2013-linux-bin.png
发表于 2015-2-4 23:23:44 | 显示全部楼层
你把当前目录下的临时文件和文件夹都删除,然后执行
vcs fpga_top.v tb_top.v
试试
 楼主| 发表于 2015-2-5 00:19:37 | 显示全部楼层
回复 2# liuguangxi


   csrc 和simv.daidir两个文件夹的图标右上角带两个小锁的标志,删不掉,sudo rm -rf 也删不掉
发表于 2015-2-5 12:45:22 | 显示全部楼层
回复 3# squirrel_216


   你换一个干净目录,把两个文件复制进去,再进行仿真。
发表于 2015-3-12 16:59:14 | 显示全部楼层
用ll -a 看看你里面的vcs link 到哪里了?红色就是link的位置不对,先解决这个问题
发表于 2017-4-6 12:02:22 | 显示全部楼层
你好,问题解决l了吗?我也遇到这样的问题了
发表于 2021-1-4 17:14:05 | 显示全部楼层

谢谢分享
发表于 2021-1-4 17:29:15 | 显示全部楼层
vcs -debug_all *.v
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 06:39 , Processed in 0.046253 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表