在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1956|回复: 4

[求助] FPGA通过CPU引导启动

[复制链接]
发表于 2015-1-14 16:18:21 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位大侠,我现在在做的项目,用的是XILINX芯片的XC6SLX25芯片,现在想通过cpuFPGA加载代码,跪求这方面资料的,时序图或者代码?我的硬件连接方式如图
 楼主| 发表于 2015-1-14 16:19:12 | 显示全部楼层
回复 1# itolddd


    FPGA连接图.png
发表于 2015-1-14 21:05:19 | 显示全部楼层
Spartan6有个讲config的手册,上面应该有时序图。
发表于 2015-1-15 09:00:16 | 显示全部楼层
FPGA 配置为 serial slave 的模式,CPU加载FPGA的bin文件,xilinx最近刚刚推出一个xapp1188
 楼主| 发表于 2015-1-15 17:26:22 | 显示全部楼层
回复 1# itolddd

我找到了部分资料,分享下:
   http://wenku.baidu.com/link?url=XsxADROiohFIXYsITgyE-b5yq31Cvxrr7Py-S4GAbQs79yFTcxXA--WBFgi3AO6qFLE93qo8riM_VGUqyDIZE8mc4Nx643289ju4rAg22LG
http://wenku.baidu.com/link?url=9jIbpQxgUvhdEozFdTtdmMe0_RiaQxoja8zo808zrGWUtVlPQ3hXuWp_IzuY0WK4oFQbYun2IlyBSNanowEMZIeqq6lqmkx9tCXaLcrzHsq

现在已经实现功能,代码如下

char FPGA_LoadCode_INIT(void)
{
    int i;

    //引脚初始化
    FPGADONEINIT;
    FPGACCLKINIT;
    FPGADININIT;
    FPGAPROGINIT;
    FPGAINITINIT;
    CCLK_H;
    PROG_H;
    //清空FPGA内存数据
    i=200;
    while(1)
    {
        if(READINIT==1)
        {
            break;
        }
        i--;
        if(i==0)
        {
            return 1;
        }
    }
    CCLK_L;
    PROG_L;
    i=20;
    while(i--);
    PROG_H;

    i=65000;
    while(1)
    {
        if(READINIT==1)
        {
            break;
        }
        i--;
        if(i==0)
        {
            return 2;
        }
    }

    return 0;
}

char FPGA_LoadCode_Updata(UINT8* idata,UINT32 idatalen)
{
    char ret;
    UINT32 i;

    for(i=0;i<idatalen;i++)
    {
        FPGA_UpdataOneByte(idata);
    }
    if(READINIT==0)
    {
        return 1;
    }
    return 0;
}

void FPGA_UpdataOneByte(UINT8 idata)
{
    UINT8 cdata=0x80;
    UINT8 i=0;

    for(i=0;i<8;i++)
    {
        CCLK_L;
        if(idata&cdata)
        {
            DIN_H;
        }
        else
        {
            DIN_L;
        }
        cdata>>1;
        CCLK_H;
    }
    CCLK_L;
}
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-27 19:03 , Processed in 0.137814 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表