|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
本帖最后由 dennisi123 于 2014-11-23 15:49 编辑
rt,小弟最近在用altera做一个fpga的工程。需要用到两个串联的时钟复用器,如下图所示:
clk_out最后是给了altera自带双口ram的写时钟断。在做apr后的时序分析时,有警告:
Critical Warning: Timing requirements not met 仔细看了报告里的描述,应该是hold的时序违约。我用的是TimeQuest做的,sdc如下:
###########################################################################
#
# Generated by : Version 9.1 Build 222 10/21/2009 SJ Full Version
#
# Project : spi_80
# Revision : spi_80
#
# Date : Thu Nov 20 10:16:34 CST 2014
#
###########################################################################
# WARNING: Expected ENABLE_CLOCK_LATENCY to be set to 'ON', but it is set to 'OFF'
# In SDC, create_generated_clock auto-generates clock latency
#
# ------------------------------------------
#
# Create generated clocks based on PLLs
derive_pll_clocks -use_tan_name
#
# ------------------------------------------
# Original Clock Setting Name: WRB
create_clock -period 200 -name {WRB_SCL_i} {WRB_SCL_i}
# ---------------------------------------------
# Original Clock Setting Name: SCK
create_clock -period 200 -name {SCK_i} {SCK_i}
# ---------------------------------------------
# Original Clock Setting Name: rCLK_ram
create_clock -period 200 -name rCLK_ram [get_ports {gram_rck}]
# ---------------------------------------------
create_clock -period 100 -name dotclk [get_ports {dotclk}]
# ** Clock Latency
# -------------
# ** Clock Uncertainty
# -----------------
# ** Multicycles
# -----------
# ** Cuts
# ----
# ** Input/Output Delays
# -------------------
# ** Tpd requirements
# ----------------
# ** Setup/Hold Relationships
# ------------------------
# ** Tsu/Th requirements
# -------------------
# ** Tco/MinTco requirements
# -----------------------
#
# Entity Specific Timing Assignments found in
# the Timing Analyzer Settings report panel
#
# ---------------------------------------------
# The following clock group is added to try to
# match the behavior of:
# CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS = ON
# ---------------------------------------------
set_clock_groups -exclusive -group {SCK_i} -group {WRB_SCL_i} -group {rCLK_ram} -group {dotclk}
# ---------------------------------------------
请问大神们如何能解决这个hold违约啊?貌似跟时钟周期没有关系啊。新手求指导!非常感谢! |
|