在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6386|回复: 9

[求助] 请教一个VCS功能仿真时序采样的问题

[复制链接]
发表于 2014-11-8 10:02:32 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 xikezn 于 2014-11-8 10:05 编辑

一个同步设计,
用VCS进行功能仿真,发现个别信号采样的是时钟上升沿之前0-时刻的值(不符合预期),大部分信号采样的是时钟上升沿之后0+时刻的值(符合预期)。是设计有问题吗?还是需要设置VCS的什么选项吗?
另外,是内部寄存器,不能通过加接口时延来解决,rtl内加时延感觉也不是个事儿。
发表于 2014-11-9 21:02:45 | 显示全部楼层
TB中给模块的激励信号不要和时钟对齐。或者tb中的赋值用触发器的方式赋值
 楼主| 发表于 2014-11-13 12:11:26 | 显示全部楼层
回复 2# whz7783478


   这个可以解决接口寄存器的问题,不能解决内部寄存器的问题啊
发表于 2019-10-14 14:48:13 | 显示全部楼层


xikezn 发表于 2014-11-13 12:11
回复 2# whz7783478


楼主最后这个问题怎么解决的?
发表于 2019-10-14 17:39:12 | 显示全部楼层
你要保证进入你的模块输入端口的信号都是用寄存器打完一拍以后的信号,应该就不会有这个问题了,你可以试一试
发表于 2022-11-21 20:28:24 | 显示全部楼层
这是vcs仿真调度的问题, 添加仿真选项  vcs -deraceclockdata ,保证仿真器采样前一拍的值
发表于 2022-11-21 20:29:32 | 显示全部楼层
vcs -deraceclockdata 可以解决
发表于 2022-11-21 21:04:35 | 显示全部楼层
发表于 2022-11-21 21:05:29 | 显示全部楼层
vcs -deraceclockdata 可解决
发表于 2023-1-3 20:19:21 | 显示全部楼层
使用questasim也出现这个问题了,有相似的命令吗,大佬

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 05:19 , Processed in 0.036352 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表