在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1525|回复: 0

[原创] VIRTEX4_

[复制链接]
发表于 2014-10-23 21:52:54 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
STARTUP_VIRTEX4 STARTUP_VIRTEX4_inst (
      .EOS(EOS), // End Of Startup 1-bit output
      .CLK(CLK), // Clock input for start-up sequence
      .GSR(GSR_PORT), // Global Set/Reset input (GSR can not be used as a port name)
      .GTS(GTS_PORT), // Global 3-state input (GTS can not be used as a port name)
      .USRCCLKO(USRCCLKO), // USERCLK0 1-bit input
      .USRCCLKTS(USRCCLKTS), // USERCLKTS 1-bit input
      .USRDONEO(USRDONEO), // USRDONE0 1-bit input
      .USRDONETS(USRDONETS) // USRDONETS 1-bit input
   );
这个原语怎么调用?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 19:12 , Processed in 0.016436 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表