在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1935|回复: 1

[求助] AMS导入verilog代码遇到原语声明问题

[复制链接]
发表于 2014-9-25 12:57:30 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
基于IC5141、IUS8.2、MMSIM6.2构建了AMS仿真平台。当在IC5141的library manager导入verilog代码时,代工的verilog.v中采用了原语声明的形式(如buf ( _H01, H01 );not ( N01, _H01 );),导致IC5141(也许是ncverilog)找不到所引用的module而报错,大家有遇到这个问题么?怎么解决的?多谢~!
发表于 2014-9-26 08:15:16 | 显示全部楼层
回复 1# hktkzsw

1、ADE L界面:Simulation->netlist and run options->OSS-based netlister with irun;
2、Simulation->options->ams simulator->Main里边:options files(-f)这里需要填写文本文件,这个文本里边写你调用的所有.v文件的绝对路径;例如:
/home/XXXX/XXXX/XXXX1.v
/home/XXXX/XXXX/XXXX2.v
/home/XXXX/XXXX/XXXX3.v
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 12:26 , Processed in 0.021933 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表