在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4039|回复: 12

[求助] timing report 求助

[复制链接]
发表于 2014-9-1 11:04:50 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 oyo 于 2014-9-1 11:09 编辑

timing_report.PNG
2.PNG
如上图,Block的设计,时钟是从port端开始的,postCTS阶段的一条timing report, 不明白的地方是
(1)Drive Adjustment指的是什么?
(2)计算RT的时候,时钟路径上的cell为什么不计Delay?
SDC里面没有设置port端的delay啊
刚工作不久,好多不懂的地方,还请大虾们指教,谢谢~~
 楼主| 发表于 2014-9-1 11:12:53 | 显示全部楼层
问题2是工具自身的行为吗?因为这样非常悲观,但悲观的不合理,,还请指教阿。。
 楼主| 发表于 2014-9-1 12:36:12 | 显示全部楼层
为什么没人回答呢?是我描述的不清楚,还是怎的?
 楼主| 发表于 2014-9-1 17:04:19 | 显示全部楼层
为什么没人解答啊?!
发表于 2014-9-1 17:34:37 | 显示全部楼层
我也遇到这个问题,坐等老大解惑----
发表于 2014-9-1 17:53:29 | 显示全部楼层
set_propagated_clock [all_clocks]
report_timing再报,

capture path确实没有propagate ,比较奇怪,
感觉是不是generated clock定义,有点复杂,

drive adjustment 就1ps,算了,别看了,
 楼主| 发表于 2014-9-2 15:53:00 | 显示全部楼层
回复 6# icfbicfb
(1)我发现postRoute阶段也存在这种情况,但是不是所有的Capature Clock上的cell都不计Delay,就是说有些Capature Clock是propagate的,有些不是,我按照老大的建议set_propagated_clock [all_clocks],但是工具会报error

3.PNG

Clock port是creat_clock,只是设置了Uncertainty ,是不是set_propagated_clock [all_clocks]要加在SDC里面?问题在哪里呢?
(2)drive adjustment 是从哪里来的啊?还是不懂。。我看到也有0.008的
老大再给我解答一次吧,小弟先谢过了。。。
发表于 2014-9-2 15:56:21 | 显示全部楼层
回复 4# oyo


    小油菜~
发表于 2014-9-2 16:22:19 | 显示全部楼层
,困惑,版主拜托拜托
发表于 2014-9-2 16:23:40 | 显示全部楼层
drive adjustment来自set driving cell
prects阶段时钟树的cell都是理想的,没有延迟,只有set_propagated_clock之后才会有真实的延迟。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-13 20:24 , Processed in 0.031467 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表