在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9252|回复: 19

[求助] Synopsys VCS后仿真

[复制链接]
发表于 2014-5-4 16:10:18 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请教各位大神,小弟现在正在学VCS仿真,现在已经基本知道如何进行RTL级仿真,但是如何使用VCS进行后仿真呢?还请各位高手不吝赐教,谢谢
发表于 2014-5-4 19:29:07 | 显示全部楼层
要有sdf文件才行
 楼主| 发表于 2014-5-5 08:36:00 | 显示全部楼层
回复 2# tuguoping1989


  我现在知道需要SDF文件,但是请问有了这个SDF文件之后如何操作呢?我查资料看到需要把这个“initial $sdf_annotate("dut.sdf", tb_top.dut); ”加到tb里面,这个DUT是什么文件呢?还有只要加上这个反标的系统函数就可以了吗?还需要别的操作吗
发表于 2014-5-5 10:07:41 | 显示全部楼层
请问Synopsys仿真和Modelsim仿真有什么区别呢?
发表于 2014-5-5 12:44:12 | 显示全部楼层
是不是一定要在linux下用
 楼主| 发表于 2014-5-5 13:00:31 | 显示全部楼层
哪位大神能给帮忙说下啊  跪求啊  真是到处找资料也找不到 实在是没办法了 希望懂的人能给帮下忙
发表于 2014-5-6 10:39:18 | 显示全部楼层
回复 3# ziseouranle

比如,你的sdf文件名字为aaa.sdf,你需要测试的顶层为aaa.v,在你的测试顶层里例化名为U_aaa,则你反标时写$sdf_annotate("aaa.sdf", tb_top.U_aaa); 此处注意sdf文件路径
发表于 2014-5-6 10:42:47 | 显示全部楼层
现在回复得审查了????
发表于 2014-5-6 10:45:45 | 显示全部楼层
比如你的sdf文件名字为aaa.sdf,你的设计顶层在测试顶层了的例化名为U_aaa,则你反标sdf时语句如下
$sdf_annotate(aaa.sdf,test_top.U_aaa);此处应注意sdf文件路径
 楼主| 发表于 2014-5-6 13:18:05 | 显示全部楼层
回复 9# htj844575037


   你好,非常感谢你的回答,除了加上这个SDF文件反标之后,在编译的时候是不是需要将被测试的.v文件换成DC综合出来的网表.v文件,还有供应商提供的那个库在后仿真的时候如何使用呢?谢了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 13:22 , Processed in 0.032018 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表