在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3362|回复: 10

[求助] 关于Modelsim的一些问题

[复制链接]
发表于 2014-4-23 22:01:19 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我初学FPGA,是不是用Modelsim看波形啊,求指教,这个软件单独也能使用吧?能看波形么,我就想看看波形的,一个三分频的程序,那我运行的程序怎么一直有问题啊,程序没问题,是书本上的,出现如下 QQ图片20140423220329.jpg
发表于 2014-4-24 09:24:19 | 显示全部楼层
把信号加进来之后还得运行才行,你这个还没开始运行
 楼主| 发表于 2014-4-24 09:43:39 | 显示全部楼层
回复 2# htj844575037


   哦。可是点完了run-all 之后,还是没反应啊,求解啊
发表于 2014-4-24 10:05:56 | 显示全部楼层
回复 3# 付明浩


   你写激励文件了没?是不是没写激励啊?
 楼主| 发表于 2014-4-24 10:59:46 | 显示全部楼层
回复 4# htj844575037


   是的,后来我发现了,原来要两个文件啊,谢谢你啊,这个怎么写啊?光盘里面只给这个程序,不会写啊,初次学习这个,还望见谅,比较菜。麻烦你能给一个完全能运行的出来的程序么,让我看看这个软件到底怎么用的?谢谢啊,QQ邮箱:272586395@qq.com,我自己网上也搜了,可是也运行不了,我就想从入门开始,拿一个成功的程序先熟悉一下这个工作流程。。。。
发表于 2014-4-24 12:30:22 | 显示全部楼层
这个你在网上百度下 。。有手把手教你用modelsim的,连程序都有。。
 楼主| 发表于 2014-4-24 14:07:22 | 显示全部楼层
回复 6# weiqi7777


   嗯,我百度了,可能百度错了,那个程序有问题,重新百度一下,谢谢你啊
 楼主| 发表于 2014-4-24 14:44:49 | 显示全部楼层
回复 6# weiqi7777


   
为什么只要有`timescale 1ns/1ns,就会编译错误,去掉编译成功,但是不出波形,求大家帮帮忙,连个最简单的都不会,要崩溃了
发表于 2014-4-24 20:01:26 | 显示全部楼层
还没有运行
发表于 2014-4-24 20:08:40 | 显示全部楼层
回复 8# 付明浩


    错误信息帖上来看看
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 21:38 , Processed in 0.042358 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表