在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: shiyinjita

[原创] 关于spartan6下DDR2的操作,可以看一下我的博客。

[复制链接]
发表于 2014-4-14 08:38:35 | 显示全部楼层
回复 9# shiyinjita


   文波两百多毫伏
发表于 2014-4-14 08:40:31 | 显示全部楼层
回复 9# shiyinjita


   复位信号外接一个按键
发表于 2014-4-14 09:06:21 | 显示全部楼层
回复 10# shiyinjita


    是我的时序理解错了,按照手册上的来,就搞对了,不过手册的时序还是不详细!
发表于 2014-4-22 09:36:20 | 显示全部楼层
回复 10# shiyinjita


    吉他哥又得麻烦你了,工程中建立好之后,我暂时没有进行管脚分配,编译的时候在map过程中会报错,我从pll中分出了二个时钟输出给外部管脚,之前一直报错后来加上ODDR2+OBUF然后输出给外部,但是我在map的时候一直报ERRORack:1107 - Pack was unable to combine the symbols listed below into a
   single IOB component because the site type selected is not compatible.
的错误,不知道为什么。难道我的ODDR2和OBUF用多了?还是别的原因?补充:我的UCF文件管脚约束文件一直没有加!因为我暂时没有进行管脚分配!
 楼主| 发表于 2014-4-23 20:24:02 | 显示全部楼层
回复 14# 574920045


   这个问题忘记了,但是这样用是没有问题的我当时也是用DDR2的时钟来分频作为全局时钟的
 楼主| 发表于 2014-4-23 20:24:26 | 显示全部楼层
回复 12# 菜鸟要飞


  感觉电压的缘故
发表于 2014-4-24 11:30:54 | 显示全部楼层
先顶一个,进去看看那
发表于 2014-11-10 21:41:58 | 显示全部楼层
先顶一个,进去看看那
发表于 2015-7-7 08:14:43 | 显示全部楼层
谢谢分享
发表于 2016-6-29 20:41:46 | 显示全部楼层
回复 1# shiyinjita


    你好,帖子地址已经找不到了。
    我在使用MIG的时候,map出现如下错误:
Place:866 - Not enough valid sites to place the following IOBs:
IO Standard: Name = LVCMOS25, VREF = NR, VCCO = 2.50, TERM = NONE, DIR = BIDIR, DRIVE_STR = 12
IO
This may be due to either an insufficient number of sites available on the device, too many prohibited sites,
or incompatible I/O Standards locked or range constrained to I/O Banks with valid sites.
    This situation could possibly be resolved by one (or all) of the following actions:
a) Grouping IOBs of similar standards into a minimum amount of I/O Banks by using LOC or range constraints.
b) Maximizing available I/O Banks resources for special IOBs by choosing lower capacity I/O Banks if possible.
c) If applicable, decreasing the number of user prohibited sites or using a larger device.



Place:382 - The placer was unable to find a feasible solution for the IOBs in your design. This is possibly due to SelectIO banking constraints.
Each Group of a specific Standard is listed.
Standard SSTL18_II (Vref=0.90 Vcco=1.80 Terminate=none) 39 IOs, 39 locked.
  (0-Inputs, 23-Outputs, 16-Bidirectional)
Standard LVCMOS25 (Vref=NR Vcco=2.50 Terminate=none) 9 IOs, 7 locked.
  (1-Inputs, 7-Outputs, 1-Bidirectional)
Standard DIFF_SSTL18_II (Vref=NR Vcco=1.80 Terminate=none) 6 IOs, 6 locked.
  (0-Inputs, 2-Outputs, 4-Bidirectional)
Standard LVCMOS18 (Vref=NR Vcco=NR Terminate=none) 3 IOs, 3 locked.
  (3-Inputs, 0-Outputs, 0-Bidirectional)
Bank Summary
____________
If an IOB is placed in a dedicated Vref site, it will be indicated by the word 'Vref' at the end of a summ...
NOTE: This message is very long (~7 K) and has been shortened to a maximum of 1000 characters for viewing in this context.
           Please refer to the corresponding ASCII report for the full message.
能帮帮我么?谢谢!卡了我好几天了。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 18:26 , Processed in 0.030155 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表