在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 12870|回复: 21

[原创] 关于spartan6下DDR2的操作,可以看一下我的博客。

[复制链接]
发表于 2014-4-5 08:44:40 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
博客地址如下http://www.eetop.cn/blog/239461/viewspace-37026.html
发表于 2014-4-7 10:05:39 | 显示全部楼层
回复 1# shiyinjita


    吉他哥,那个MIG生成的ddr控制器我当做子模块,加上一个循环读写测试模块,然后封装在top顶层模块内就会报错::ConstraintSystem:58 - Constraint <NET
   "memc?_wrapper_inst/mcb_ui_top_inst/mcb_raw_wrapper_inst/selfrefresh_mcb_mode" TIG;> [mig_37.ucf(36)]: NET
   "memc?_wrapper_inst/mcb_ui_top_inst/mcb_raw_wrapper_inst/selfrefresh_mcb_mode
   " does not match any design objects.
ERROR:ConstraintSystem:58 - Constraint <INST
   "memc?_wrapper_inst/mcb_ui_top_inst/mcb_raw_wrapper_inst/gen_term_calib.mcb_soft_calibration_top_inst/mcb_soft_calibration_inst/DONE_SOFTANDHARD_CAL*"
   TIG;> [mig_37.ucf(38)]: INST
   "memc?_wrapper_inst/mcb_ui_top_inst/mcb_raw_wrapper_inst/gen_term_calib.mcb_s
   oft_calibration_top_inst/mcb_soft_calibration_inst/DONE_SOFTANDHARD_CAL*"
   does not match any design objects.
ERROR:ConstraintSystem:58 - Constraint <NET
   "memc?_wrapper_inst/mcb_ui_top_inst/mcb_raw_wrapper_inst/gen_term_calib.mcb_soft_calibration_top_inst/mcb_soft_calibration_inst/CKE_Train" TIG;>
   [mig_37.ucf(43)]: NET
   "memc?_wrapper_inst/mcb_ui_top_inst/mcb_raw_wrapper_inst/gen_term_calib.mcb_s
   oft_calibration_top_inst/mcb_soft_calibration_inst/CKE_Train" does not match
   any design objects.
ERROR:ConstraintSystem:59 - Constraint <NET
   "memc3_infrastructure_inst/sys_clk_ibufg" TNM_NET = "SYS_CLK3";>
   [mig_37.ucf(57)]: NET "memc3_infrastructure_inst/sys_clk_ibufg" not found.
   Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:NgdBuild:924 - input pad net 'c3_sys_clk' is driving non-buffer
   primitives:
如果用MIG生成的控制器当做顶层模块,循环读写测试模块在控制器内部实现,那么就可以,这是为什么啊?难道mig生成的控制器只能当顶层模块,不能当子模块吗?
 楼主| 发表于 2014-4-7 15:27:35 | 显示全部楼层
可以当底层,把uCF那部分删掉就可以了,不影响的
发表于 2014-4-7 17:46:38 | 显示全部楼层
回复 3# shiyinjita


    ##################################################################################
# Timing Ignore constraints for paths crossing the clock domain
##################################################################################
NET "memc?_wrapper_inst/mcb_ui_top_inst/mcb_raw_wrapper_inst/selfrefresh_mcb_mode" TIG;
NET "c?_pll_lock" TIG;
     
NET "memc?_wrapper_inst/mcb_ui_top_inst/mcb_raw_wrapper_inst/gen_term_calib.mcb_soft_calibration_top_inst/mcb_soft_calibration_inst/CKE_Train" TIG; ##This path exists for DDR2 only
     
############################################################################
## Memory Controller 3                              
## Memory Device: DDR2_SDRAM->MT47H64M16XX-25
## Frequency: 312.5 MHz
## Time Period: 3200 ps
## Supported Part Numbers: MT47H64M16HR-25
############################################################################
############################################################################
## Clock constraints                                                        
############################################################################
NET "memc3_infrastructure_inst/sys_clk_ibufg" TNM_NET = "SYS_CLK3";
TIMESPEC "TS_SYS_CLK3" = PERIOD "SYS_CLK3"  3.2  ns HIGH 50 %;
############################################################################
您说的是删掉这部分的约束吗?
发表于 2014-4-7 19:20:26 | 显示全部楼层
好贴要顶。。。
 楼主| 发表于 2014-4-8 12:29:22 | 显示全部楼层
回复 4# 574920045

shi
发表于 2014-4-11 10:57:13 | 显示全部楼层
用MIG生成的DDR2控制器工作时会重新校正初始化。
我将初始化完成信号用LED显示,发现有灭又亮的情况,就是DDR2控制器又重新初始化了,谁知道是哪些原因引起的呢?先谢谢各位了~
发表于 2014-4-12 09:11:46 | 显示全部楼层
回复 6# shiyinjita


    A.png
吉他哥,为什么我的wr_underrun信号一直是高电平啊,是哪个时序不对吗?
 楼主| 发表于 2014-4-13 09:07:09 | 显示全部楼层
回复 7# 菜鸟要飞


   电源这些供电稳定吗?纹波大约多少 ?还有复位信号怎么弄呢?
 楼主| 发表于 2014-4-13 09:08:32 | 显示全部楼层
回复 8# 574920045


   这个信号还真的忘记了,读写正常不?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 19:01 , Processed in 0.031688 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表