在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9846|回复: 16

[求助] 求助:VIP的使用

[复制链接]
发表于 2014-3-20 09:56:01 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位:      最近在试着使用USB的VIP,VIP的example中,使用的是host vip+device vip,host那端启动sequence,然后device用callback来给出data response。然后,我们是只需要使用device vip的,只用callback的话是启动不起来的。我该怎么启动仿真呢,在device端写一个sequence?
      另外,我比较搞不明白example的仿真到底怎么起来的,host的sequence直接就一个“`uvm_do(seq)",但是对USB来所,貌似一开始是device需要给host一个高电平,告诉它有device连接了。实际上,VIP里面是怎么实现的呢?
发表于 2014-3-20 16:24:27 | 显示全部楼层
哪家的VIP?

各家的例子都不一样。

枚举的过程,在例子里面一般都数字化了,应该没有查看DP,DM电压变化的那一块。

根据USB的协议,HUB在识别有东西接入的最初时刻,是由于阻抗的变化确定何种设备的接入。

这样一个过程在VIP的example里面不会直接体现,除非example里给你准备了模拟的phy。
 楼主| 发表于 2014-3-21 10:42:32 | 显示全部楼层
是synopsys的。
有同事用过以前vera的USB vip,她说那一版的需要device先发个attach,类似插上U盘动作。我直接用protocol层的组件,看文档里面说,交易从device接受一个TOKEN包开始。那上电到接受到包的这一段该怎么控制呢?
发表于 2014-3-24 13:55:45 | 显示全部楼层
回复 3# oscillator_cn1


    那一段VIP默认已经完成,那一段的结果就是改变了某个寄存器某个域的值;在VIP的环境中已经写好在initial部分了。

具体可以问问S的FAE。
发表于 2014-3-24 21:18:36 | 显示全部楼层
WWAGO公司提供USB VIP, 下面是USB3.0 VIP,可以用来验证USB3.0 host ,或USB3.0 device 等.

可以找WWAGO的销售人员,免费申请试用这个VIP.

下面是USB3.0 VIP的block diagram.

USB3.JPG


Deliverables

•  USB 3.0 Host/Device with BFM/Agents  :

      -  PHY Layer

      -  Link Layer

      - Protocol Layer

      -  xHCI (Host Side)

•  USB 3.0 Layered Monitor and Scoreboard

•  Test-Bench Configurations

• Test Suite   (Available  in Source code)  :

     - Basic and Directed Protocol Tests

     - Random Tests

     - Error Scenario Tests

     - Assertions & Coverage  Tests

     - Compliance  Tests      

      - User Tests

•  User Guide and Release Notes


这个VIP的datasheet 如下.


WWAGO_USB3.0_VIP_Datasheet.pdf (306.02 KB, 下载次数: 95 )
发表于 2014-3-24 22:50:49 | 显示全部楼层
也可以使用AVERY公司的USB 3.0 VIP,免费试用
 楼主| 发表于 2014-3-27 08:46:43 | 显示全部楼层
谢谢诸位。
发表于 2014-3-29 07:35:09 | 显示全部楼层
謝謝分享
发表于 2014-5-22 08:48:49 | 显示全部楼层
下載參考看看
发表于 2014-5-22 08:50:33 | 显示全部楼层
下載參考看看
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-10 10:34 , Processed in 0.037830 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表