在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9806|回复: 22

[求助] VCS+verdi debug,VCS编译无法通过

[复制链接]
发表于 2014-3-17 14:37:51 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 ICSYS 于 2014-3-17 22:42 编辑

make : *** [product timestamp] Error 1
make exited with status 2
求大牛指点~~
===========================================
版本是VCS201103,verdi2011.想调用VERDI里面有很多vcs,vcsd,vcs_latest,vcs_mixed,vcs_miph..诸多版本.应选哪个呢?指定PLI时有debussy.tab,novas.tab.verdi.tab.fsdb_vcs.tab.fsdb_vcs.a.pli.a等.tab,.a文件,应选择哪个呢?谢谢啦~===========================================
以上版本和pli.a .tab都试过了,都不行
 楼主| 发表于 2014-3-17 22:26:51 | 显示全部楼层
本帖最后由 ICSYS 于 2014-3-17 22:28 编辑

compile log as follows.

*design* DebussyLib (btIdent 2011.04)
Command arguments:
    +define+verilog
    -inc
    /home/hu/test/sim/tb/dut.v
    /home/hu/test/sim/tb/uvm_tb_top2.v


*Error* File type not allowed for object.
"/home/hu/test/sim/tb/uvm_tb_top2.v", 1:
*Error*  syntax error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 2: import uvm_pkg:<-
*Error*  syntax error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 2: import uvm_pkg:<-
*Error*  Resource file error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 11: interface my_if(input<-
*Error*  syntax error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 13: logic [7:0] rxd<-
*Error*  syntax error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 14: logic       rx_dv;<-
*Error*  syntax error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 15: logic [7:0] txd<-
*Error*  syntax error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 16: logic       tx_en;<-
*Error*  syntax error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 18: clocking drv_cb@<-
*Error*  syntax error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 18: clocking drv_cb@<-
*Error*  syntax error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 22: clocking mon_cb<-
*Error*  syntax error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 22: clocking mon_cb<-
*Error*  Resource file error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 36: module<-
*Error*  Resource file error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 36: module<-
*Error*  Resource file error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 50: initial<-
*Error*  Resource file error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 56: end<-
*Error*  syntax error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 59: uvm_config_db#(virtual my_if<-
*Error*  syntax error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 62: uvm_config_db#(virtual my_if<-
*Error*  Resource file error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 65: end<-
*Error*  Resource file error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 72: end<-
*Error*  Resource file error (expecting keyword 'module', 'macromodule' or 'primitive')
"/home/hu/test/sim/tb/uvm_tb_top2.v", 88: class my_transaction extends<-

*Error* Resource file error

Total        21 error(s),   0 warning(s)
发表于 2014-3-18 10:38:23 | 显示全部楼层
看样子lz用的UVM1.1应用指南及源代码分析里的例子
 楼主| 发表于 2014-3-18 12:19:53 | 显示全部楼层
本帖最后由 ICSYS 于 2014-3-18 12:22 编辑

回复 3# seabeam

有什么解决方案吗,还有verdi里的PLI中VCS的多个版本 VCS,VCSD,MIXED,MHPI等等,不晓得是选哪个,我本人使用VCS201103版
 楼主| 发表于 2014-3-19 08:33:41 | 显示全部楼层
求大牛啊~~~~~~~
发表于 2014-3-19 08:50:21 | 显示全部楼层
-P  ***/novas/verdi***/share/PLI/vcs/LINUX/novas.tab
***/novas/verdi***/share/PLI/vcs/LINUX/pli.a
 楼主| 发表于 2014-3-19 10:01:38 | 显示全部楼层
回复 6# Reals_JIANG


   试了。还是不行。
发表于 2014-3-19 10:12:07 | 显示全部楼层
没用过verdi,看上去是编译问题?你确定没有语法问题么?而且你可以度娘或者谷歌一下嘛。例如:http://bbs.eetop.cn/thread-315538-1-1.html
 楼主| 发表于 2014-3-19 10:18:09 | 显示全部楼层
本帖最后由 ICSYS 于 2014-3-19 14:13 编辑

回复 8# seabeam


   确定没有语法问题。是PLI库问题。你给的链接我看了一下,应该不是那个帖子相似的问题,谢谢
发表于 2014-3-19 10:18:23 | 显示全部楼层
请LZ贴一下Makefile和compile的命令行?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 14:08 , Processed in 0.029928 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表