在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 8221|回复: 6

求助请大家看一下我这段PWM的代码,我仿真的时间最大只能1us我怎样才能设大一些

[复制链接]
发表于 2007-3-13 21:20:51 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
仿真时间只能改小不能改大,请大家帮我看看.附件是Quartus II的项目全部文件。本人刚学也希望能认识更多搞FPGA/和CPLD的朋友.
1US.JPG

pwm.rar

125.7 KB, 下载次数: 14 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2007-3-20 16:53:20 | 显示全部楼层
建立仿真文件的时候,可以在菜单栏的edit里有一个选项可以设置仿真时间的长短,只要你愿意设置多长都可以:)只要你不怕你的机器跑死机了:)还有能够承受长时间的等待:)
发表于 2007-3-20 16:54:12 | 显示全部楼层
对了,edit下那个选项好像叫end time
 楼主| 发表于 2007-3-21 23:07:16 | 显示全部楼层
谢谢你的回复,我以解决。新手没办法真的很谢谢你。
发表于 2007-3-22 11:31:25 | 显示全部楼层
没事,知道就告诉你下,也没有什么难的:)
发表于 2008-2-28 14:14:38 | 显示全部楼层

好好好好好好好好好好好
头像被屏蔽
发表于 2008-2-29 11:53:13 | 显示全部楼层
提示: 作者被禁止或删除 内容自动屏蔽
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-13 15:01 , Processed in 0.034172 second(s), 12 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表