在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2098|回复: 4

[求助] PAD 综合问题

[复制链接]
发表于 2013-12-31 15:15:28 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请教一个问题,求指导。

       关于PAD 处理问题。
                我在chip 的最顶层列化了pad(inout 类型的)。
                那么我做IO constraints 的时候该如何处理呢?
                set_input_delay, set_output_delay  这些还有意义吗? 还是要把这个constraints 加到我下一层 综合部分的pin上面
                如: set_input_delay -max 1 -clock clk [get_pins digi/datain ]
               我是否要把 IO 都设置为dont_touch ?
               
            求求指导。
发表于 2013-12-31 16:11:04 | 显示全部楼层
因为pad的delay可以认为是确定已知的,所以我觉得可以在current design为chip顶层做相关constraint的,当然要把pad 设为dont touch。。
 楼主| 发表于 2013-12-31 17:16:33 | 显示全部楼层
回复 2# jacobshen


    继续请教, set_input_delay , set_output_delay, 对于inout IO pad 也有用吗?
对于IO 的约束
set_input_delay -max 1 -clock clk [get_pins digi/datain ] 这样可以吗?
还是 set_input_delay -max 1 -clock clk [get_ports P22 ] ?


非常感谢。
发表于 2014-1-1 10:37:08 | 显示全部楼层
PAD就不要用这些命令来虚拟delay了,找system要一个合适的load和tran,pad的lib计算相应的delay
发表于 2014-1-12 08:15:11 | 显示全部楼层
据我了解 , PAD 也只是提供个LIB 供你调用。
input delay , output delay , input transition ,output load 要设,
且要参照对应AC的specification, 不同的应用条件这些值可能还会不一样。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 20:57 , Processed in 0.026202 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表