在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2652|回复: 3

[求助] set_operating_conditions

[复制链接]
发表于 2013-12-17 16:18:55 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
set_operating_conditions -analysis_type on_chip_variation \
      -max slow -min slow

set_operating_conditions -analysis_type bc_wc \
      -max slow -min slow
的静态时序的结果是一样的吗?
 楼主| 发表于 2013-12-17 16:47:35 | 显示全部楼层
[img][/img]
 楼主| 发表于 2013-12-17 16:52:38 | 显示全部楼层
QQ截图20131217164925.png 从这上面来看BC_WC和OCV只是分析路径所用的operating condition不一样,在设置的时候把min和max条件设为一样,分析的结果就一样了吗????
发表于 2014-1-14 11:19:26 | 显示全部楼层
bc_wc中的maximum delay与OCV中的maximum delay计算方法不一样
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-14 04:33 , Processed in 0.021153 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表