在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: aprilzww

[求助] 请问有人在ModelSim下写过UVM的测试平台吗?

[复制链接]
发表于 2013-12-6 12:03:48 | 显示全部楼层
我知道你的问题的原因了,你没有在main_phase里面raise_objection
你在monitor main_phase 开头和结尾分别写上
phase.raise_objection;

phase.drop_objection;
就可以了
 楼主| 发表于 2013-12-6 13:07:47 | 显示全部楼层
回复 11# hbhbts


   对了对了~你好腻害啊~~~我要吧我的信元都送给你可是我是个菜鸟不知道怎么转给你啊  还有,土豪,能跟我做个朋友么?276625403
发表于 2013-12-6 14:53:31 | 显示全部楼层
.....
发表于 2013-12-6 15:48:27 | 显示全部楼层
学习了。
发表于 2013-12-9 20:55:35 | 显示全部楼层
回复 5# aprilzww


   不好意思。我不懂systemverilog,有点看不懂你的代码。
发表于 2013-12-10 22:49:25 | 显示全部楼层
这个跟仿真器没关系吧
发表于 2013-12-26 11:49:34 | 显示全部楼层
顶一个
发表于 2013-12-26 12:30:37 | 显示全部楼层
加没加raise_objection ?
发表于 2014-1-17 21:52:44 | 显示全部楼层
HHHHHHHHHHHHHHHHHHHH
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 12:05 , Processed in 0.030138 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表