在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3362|回复: 8

[求助] ICC 如何延遲net delay?

[复制链接]
发表于 2013-11-2 08:06:23 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
請問在ICC如何在一條net或某個inst pin前精準地加個 1 ns 的delay?
发表于 2013-11-2 10:00:15 | 显示全部楼层
set_input_delay ?
 楼主| 发表于 2013-11-2 11:31:22 | 显示全部楼层
回复 2# liu675


   謝謝,我是想問如何用BUF  來實現 我想加的delay 值
发表于 2013-11-3 14:53:17 | 显示全部楼层
delay cell
发表于 2013-11-4 13:40:25 | 显示全部楼层
不可能精准的加的,目前无法实现这种功能。即使在ICC中加入的buffer是1ns延迟,到了PT里面又不是1ns了
发表于 2013-11-4 15:30:55 | 显示全部楼层
set_annotate_delay
发表于 2013-11-5 09:26:19 | 显示全部楼层
回复 1# wenhsieh


   set_annotated_delaySets the net or cell delay value between two pins.
SYNTAX
status set_annotated_delay
-net |-cell
[-load_delay load_delay_type]
[-rise |-fall]
[-min]
[-max]
delay_value
-from from_pins
-to to_pins
[-worst]
Data Types
load_delay_type string
delay_value float
from_pins list
to_pins list


EXAMPLES
The followingexampleannotatesacelldelayof20unitsbetweeninputpin A of cell
instance U1/U2/U3 and output pin Z of the same cell instance. The delay value of 20
includes the load delay.
prompt> set_annotated_delay -cell-load_delaycell20\
-from U1/U2/U3/A-toU1/U2/U3/Z
The following example annotates a rise net delay of 1.4 units between output pin U1/
Z and input pin U2/A. The delay value for this net does not include load delay.
prompt> set_annotated_delay -net-rise-load_delaycell1.4\
-from U1/Z-toU2/A
The following example annotates a rise net delay of 12.3 units for minimum delay
analysis betweenthesameoutputpins.Inthiscasethenetdelayvaluedoesinclude
load delay.
prompt> set_annotated_delay -net-rise-min-load_delaynet12.3\
-from U1/Z-toU2/A
 楼主| 发表于 2013-11-15 02:12:28 | 显示全部楼层
我不是要在constraint 設delay 值,而是想實際用buf or delay 去墊出一個我想要的delay,
稍不準也沒關係
发表于 2013-11-26 17:30:10 | 显示全部楼层
回复 8# wenhsieh


    那就insert_buffer啊,选用驱动能力比较小的
然后可以从以前的report中大概看出这个cell的迟延值大约有多大
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 00:53 , Processed in 0.034204 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表