在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3574|回复: 2

[求助] set_false_path在两个时钟电路中的使用

[复制链接]
发表于 2013-10-10 05:04:46 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我的一个programmable filter电路里有两个子模块 SPI和FILTER,分别各有一个时钟,一个时钟叫SCK用于SPI给参数COEF[19..0]赋值,赋值完以后enable信号SDE变为高电平,filter从COEF[19..0]中取出付好的值,开始正常工作,filter采样的时钟叫DIV。由于两个时钟SCK和DIV是两个asynchronous毫不相关的信号,其实一旦值赋好以后参数COEF[19..0]就不再变,SPI instance里的所有信号包括COEF[19..0], SCK, SDE对filter来说应该是静态的,不应该有timing constraint,而实际上eda会话很大的力气去优化,结果还是有violation,那么在sdc文件里应该怎么表示才能不让eda考虑这些呢,我是这样写的,没有报错,但是好像没有效果,slack依然很大

create_clock [get_pins IDCO/DIV] -name DIV -period 3 -waveform {0 1.5}
create_clock [get_ports RESNODE] -name reset_ideal -period 3 -waveform {0 1.5}
create_clock [get_ports SDE] -name en_node_ideal -period 1000 -waveform {0 500}
create_clock [get_ports SCK] -name sck_ideal -period 100 -waveform {0 50}

set_false_path -from [get_clock DIV
] -to [get_clock sck_ideal]
set_false_path -from [get_clock sck_ideal] -to [get_clock DIV ]
set_false_path -from [get_pins ISPI/COEF] -to [get_nets IFILTER/*]


大家有什么想法吗?
发表于 2013-10-17 16:33:06 | 显示全部楼层
有沒有TIMING REPORT可以丟上來看看
发表于 2013-10-17 16:53:49 | 显示全部楼层
看你描述情況應該是想把 DIV clock 與其他 clock domain 有關的paths 都 false path 不去看.
那你可以把 set_false_path -from [get_clock sck_ideal] -to [get_clock DIV ] 換成如下:
set_clock_groups -asynchronous -group {DIV} -group {reset_ideal en_node_ideal sck_ideal}

試試看.不保證對.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-10 16:34 , Processed in 0.021866 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表