在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3078|回复: 4

[求助] ncverilog生成fsdb文件的问题

[复制链接]
发表于 2013-9-29 09:02:22 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位同仁好,
     在用nv与hsim混合仿真时,遇到这样两个问题。
     系统自动配置成ncvlg输出fsdb格式的波形,但是在tb.v中使用$fsdbDumpon;会报错,表示未定义的系统任务。系统可以使用novas看dump出来的波形,但是就是不能使用fsdb系统任务语句。。。
     由于仿真平台设计原因,仿真时间很长,fsdb会dump出4个3G左右的,超出了系统磁盘配额,因此nvlig就停了。这里如果删除之前dump出的fsdb文件后,可以继续仿真吗?用什么命令继续才能保证正确性?如果不能继续的话,那么在仿真时的命令行中,删除掉+access+rwc可以吗?我有一个专门比对结果的输出文件,所以没有波形没关系。

     谢谢大家了。
发表于 2013-9-29 10:54:39 | 显示全部楼层
提示未定义的系统任务是不是你的PLI没整对,但你又说出来fsdb了,不知道啥意思
发表于 2013-9-29 23:43:28 | 显示全部楼层
停止是停在了什么界面上?是指令符吗?如果是的话,应该删除波形后可以继续的。
发表于 2013-9-30 10:22:59 | 显示全部楼层
发表于 2013-10-25 11:17:56 | 显示全部楼层
可以分开dump吧
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 19:03 , Processed in 0.028366 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表