在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9109|回复: 9

[求助] create_clock&create_generated_clock如何选择?

[复制链接]
发表于 2013-7-16 20:38:44 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问在用create_clock定义了一个clock以后,在什么情况下才能使用create_generated_clock来定义时钟呢?在STA for nanometer design中看到如图所示的例子,书中给的约束为create_clock -name CLKM \
-period 20 -waveform {0 10} [get_ports CLKM]
create_clock -name CLKP \
-period 5 -waveform {0 2.5} [get_ports CLKP]

这里的分频后的时钟不是应该用create_generated_clock吗?
Clock.png
发表于 2013-7-17 06:11:56 | 显示全部楼层
一般CLKM应该是generated clock,如果CLKP和CLKM之间是false path,可以像上面那样定义
 楼主| 发表于 2013-7-18 12:55:18 | 显示全部楼层
回复 2# 陈涛


   那在如图的类似分频情况下是不是都要设置multicycle_path啊?
发表于 2013-12-3 15:37:22 | 显示全部楼层
回复 3# HADIST


   还是要看功能的,功能没有问题,可以设置为false_path,
发表于 2013-12-3 21:53:27 | 显示全部楼层
什么破书...
 楼主| 发表于 2013-12-4 09:23:15 | 显示全部楼层
回复 4# ksg12


   恩,谢谢答复;
 楼主| 发表于 2013-12-4 09:23:44 | 显示全部楼层
回复 5# Timme


   那本书不错啊;
发表于 2014-9-5 15:38:19 | 显示全部楼层
看清楚,get_ports CLKM , div 4 freq是片外的,别乱骂书
发表于 2014-9-5 16:16:45 | 显示全部楼层
一般同源clock是一个domain的, 不用设false path , 把clock tree latency balance好就行
发表于 2019-6-4 12:27:37 | 显示全部楼层
楼主什么书呢,求介绍
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 09:05 , Processed in 0.040946 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表